blob: d8f3d57269a8e786d9d2109fd35655410370a374 [file] [log] [blame]
SystemC Simulation
Stimuli : 0 0 0 0 0 0 at 23 ns
Display : 0000 0000 000000 000000 00000000 00000000 at 27 ns
Stimuli : 2 2 8 8 32 32 at 44 ns
Display : 0100 1100 001100 100110 00100000 00000110 at 48 ns
Stimuli : 4 4 16 16 64 64 at 65 ns
Display : 1000 1000 001000 111100 01000000 11111100 at 69 ns
Stimuli : 6 6 24 24 96 96 at 86 ns
Display : 1100 0100 010100 100010 01100000 00000010 at 90 ns
Stimuli : -8 8 -32 32 -128 128 at 107 ns
Display : 0000 0000 100000 001000 10000000 00001000 at 111 ns
Stimuli : -6 10 -24 40 -96 160 at 128 ns
Display : 0100 1100 101100 101110 10100000 00001110 at 132 ns
Stimuli : -4 12 -16 48 -64 192 at 149 ns
Display : 1000 1000 101000 000100 11000000 00000100 at 153 ns
Stimuli : -2 14 -8 56 -32 224 at 170 ns
Display : 1100 0100 110100 101010 11100000 00001010 at 174 ns
Stimuli : 0 0 0 0 0 0 at 191 ns
Display : 0000 0000 000000 000000 00000000 00000000 at 195 ns
Stimuli : 2 2 8 8 32 32 at 212 ns
Display : 0100 1100 001100 100110 00100000 00000110 at 216 ns
Stimuli : 4 4 16 16 64 64 at 233 ns
Display : 1000 1000 001000 111100 01000000 11111100 at 237 ns
Stimuli : 6 6 24 24 96 96 at 254 ns
Display : 1100 0100 010100 100010 01100000 00000010 at 258 ns
Stimuli : -8 8 -32 32 -128 128 at 275 ns
Display : 0000 0000 100000 001000 10000000 00001000 at 279 ns
Stimuli : -6 10 -24 40 -96 160 at 296 ns
Display : 0100 1100 101100 101110 10100000 00001110 at 300 ns
Stimuli : -4 12 -16 48 -64 192 at 317 ns
Display : 1000 1000 101000 000100 11000000 00000100 at 321 ns
Stimuli : -2 14 -8 56 -32 224 at 338 ns
Display : 1100 0100 110100 101010 11100000 00001010 at 342 ns
Stimuli : 0 0 0 0 0 0 at 359 ns
Display : 0000 0000 000000 000000 00000000 00000000 at 363 ns
Stimuli : 2 2 8 8 32 32 at 380 ns
Display : 0100 1100 001100 100110 00100000 00000110 at 384 ns
Stimuli : 4 4 16 16 64 64 at 401 ns
Display : 1000 1000 001000 111100 01000000 11111100 at 405 ns
Stimuli : 6 6 24 24 96 96 at 422 ns
Display : 1100 0100 010100 100010 01100000 00000010 at 426 ns
Stimuli : -8 8 -32 32 -128 128 at 443 ns
Display : 0000 0000 100000 001000 10000000 00001000 at 447 ns
Stimuli : -6 10 -24 40 -96 160 at 464 ns
Display : 0100 1100 101100 101110 10100000 00001110 at 468 ns
Stimuli : -4 12 -16 48 -64 192 at 485 ns
Display : 1000 1000 101000 000100 11000000 00000100 at 489 ns
Stimuli : -2 14 -8 56 -32 224 at 506 ns
Display : 1100 0100 110100 101010 11100000 00001010 at 510 ns
Info: /OSCI/SystemC: Simulation stopped by user.