blob: 679923ee8666f68e8c8277be1de6cc46d1abd30b [file] [log] [blame]
<html xmlns:v="urn:schemas-microsoft-com:vml"
xmlns:o="urn:schemas-microsoft-com:office:office"
xmlns:w="urn:schemas-microsoft-com:office:word"
xmlns:m="http://schemas.microsoft.com/office/2004/12/omml"
xmlns="http://www.w3.org/TR/REC-html40">
<head>
<meta http-equiv=Content-Type content="text/html; charset=windows-1252">
<meta name=ProgId content=Word.Document>
<meta name=Generator content="Microsoft Word 15">
<meta name=Originator content="Microsoft Word 15">
<link rel=File-List href="table_files/filelist.xml">
<!--[if gte mso 9]><xml>
<o:DocumentProperties>
<o:Author>Tiago Muck</o:Author>
<o:Template>Normal</o:Template>
<o:LastAuthor>Tiago Muck</o:LastAuthor>
<o:Revision>3</o:Revision>
<o:TotalTime>4</o:TotalTime>
<o:Created>2021-02-19T18:17:00Z</o:Created>
<o:LastSaved>2021-02-19T20:00:00Z</o:LastSaved>
<o:Pages>1</o:Pages>
<o:Words>546</o:Words>
<o:Characters>3115</o:Characters>
<o:Lines>25</o:Lines>
<o:Paragraphs>7</o:Paragraphs>
<o:CharactersWithSpaces>3654</o:CharactersWithSpaces>
<o:Version>16.00</o:Version>
</o:DocumentProperties>
<o:OfficeDocumentSettings>
<o:AllowPNG/>
</o:OfficeDocumentSettings>
</xml><![endif]-->
<link rel=themeData href="table_files/themedata.thmx">
<link rel=colorSchemeMapping href="table_files/colorschememapping.xml">
<!--[if gte mso 9]><xml>
<w:WordDocument>
<w:SpellingState>Clean</w:SpellingState>
<w:GrammarState>Clean</w:GrammarState>
<w:TrackMoves>false</w:TrackMoves>
<w:TrackFormatting/>
<w:PunctuationKerning/>
<w:ValidateAgainstSchemas/>
<w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid>
<w:IgnoreMixedContent>false</w:IgnoreMixedContent>
<w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText>
<w:DoNotPromoteQF/>
<w:LidThemeOther>EN-US</w:LidThemeOther>
<w:LidThemeAsian>ZH-CN</w:LidThemeAsian>
<w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript>
<w:Compatibility>
<w:BreakWrappedTables/>
<w:SnapToGridInCell/>
<w:WrapTextWithPunct/>
<w:UseAsianBreakRules/>
<w:DontGrowAutofit/>
<w:SplitPgBreakAndParaMark/>
<w:EnableOpenTypeKerning/>
<w:DontFlipMirrorIndents/>
<w:OverrideTableStyleHps/>
<w:UseFELayout/>
</w:Compatibility>
<w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel>
<m:mathPr>
<m:mathFont m:val="Cambria Math"/>
<m:brkBin m:val="before"/>
<m:brkBinSub m:val="&#45;-"/>
<m:smallFrac m:val="off"/>
<m:dispDef/>
<m:lMargin m:val="0"/>
<m:rMargin m:val="0"/>
<m:defJc m:val="centerGroup"/>
<m:wrapIndent m:val="1440"/>
<m:intLim m:val="subSup"/>
<m:naryLim m:val="undOvr"/>
</m:mathPr></w:WordDocument>
</xml><![endif]--><!--[if gte mso 9]><xml>
<w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="false"
DefSemiHidden="false" DefQFormat="false" DefPriority="99"
LatentStyleCount="376">
<w:LsdException Locked="false" Priority="0" QFormat="true" Name="Normal"/>
<w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 1"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 2"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 3"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 4"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 5"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 6"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 7"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 8"/>
<w:LsdException Locked="false" Priority="9" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="heading 9"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 6"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 7"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 8"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index 9"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 1"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 2"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 3"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 4"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 5"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 6"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 7"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 8"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" Name="toc 9"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Normal Indent"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="footnote text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="annotation text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="header"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="footer"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="index heading"/>
<w:LsdException Locked="false" Priority="35" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="caption"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="table of figures"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="envelope address"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="envelope return"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="footnote reference"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="annotation reference"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="line number"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="page number"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="endnote reference"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="endnote text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="table of authorities"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="macro"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="toa heading"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Bullet"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Number"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Bullet 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Bullet 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Bullet 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Bullet 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Number 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Number 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Number 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Number 5"/>
<w:LsdException Locked="false" Priority="10" QFormat="true" Name="Title"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Closing"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Signature"/>
<w:LsdException Locked="false" Priority="1" SemiHidden="true"
UnhideWhenUsed="true" Name="Default Paragraph Font"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text Indent"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Continue"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Continue 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Continue 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Continue 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="List Continue 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Message Header"/>
<w:LsdException Locked="false" Priority="11" QFormat="true" Name="Subtitle"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Salutation"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Date"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text First Indent"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text First Indent 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Note Heading"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text Indent 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Body Text Indent 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Block Text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Hyperlink"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="FollowedHyperlink"/>
<w:LsdException Locked="false" Priority="22" QFormat="true" Name="Strong"/>
<w:LsdException Locked="false" Priority="20" QFormat="true" Name="Emphasis"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Document Map"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Plain Text"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="E-mail Signature"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Top of Form"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Bottom of Form"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Normal (Web)"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Acronym"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Address"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Cite"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Code"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Definition"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Keyboard"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Preformatted"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Sample"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Typewriter"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="HTML Variable"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Normal Table"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="annotation subject"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="No List"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Outline List 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Outline List 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Outline List 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Simple 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Simple 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Simple 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Classic 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Classic 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Classic 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Classic 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Colorful 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Colorful 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Colorful 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Columns 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Columns 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Columns 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Columns 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Columns 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 6"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 7"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Grid 8"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 4"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 5"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 6"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 7"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table List 8"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table 3D effects 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table 3D effects 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table 3D effects 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Contemporary"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Elegant"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Professional"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Subtle 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Subtle 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Web 1"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Web 2"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Web 3"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Balloon Text"/>
<w:LsdException Locked="false" Priority="39" Name="Table Grid"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Table Theme"/>
<w:LsdException Locked="false" SemiHidden="true" Name="Placeholder Text"/>
<w:LsdException Locked="false" Priority="1" QFormat="true" Name="No Spacing"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading"/>
<w:LsdException Locked="false" Priority="61" Name="Light List"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 1"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 1"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 1"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 1"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 1"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 1"/>
<w:LsdException Locked="false" SemiHidden="true" Name="Revision"/>
<w:LsdException Locked="false" Priority="34" QFormat="true"
Name="List Paragraph"/>
<w:LsdException Locked="false" Priority="29" QFormat="true" Name="Quote"/>
<w:LsdException Locked="false" Priority="30" QFormat="true"
Name="Intense Quote"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 1"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 1"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 1"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 1"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 1"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 1"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 1"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 1"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 2"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 2"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 2"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 2"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 2"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 2"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 2"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 2"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 2"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 2"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 2"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 2"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 2"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 2"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 3"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 3"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 3"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 3"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 3"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 3"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 3"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 3"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 3"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 3"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 3"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 3"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 3"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 3"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 4"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 4"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 4"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 4"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 4"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 4"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 4"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 4"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 4"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 4"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 4"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 4"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 4"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 4"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 5"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 5"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 5"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 5"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 5"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 5"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 5"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 5"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 5"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 5"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 5"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 5"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 5"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 5"/>
<w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 6"/>
<w:LsdException Locked="false" Priority="61" Name="Light List Accent 6"/>
<w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 6"/>
<w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 6"/>
<w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 6"/>
<w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 6"/>
<w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 6"/>
<w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 6"/>
<w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 6"/>
<w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 6"/>
<w:LsdException Locked="false" Priority="70" Name="Dark List Accent 6"/>
<w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 6"/>
<w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 6"/>
<w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 6"/>
<w:LsdException Locked="false" Priority="19" QFormat="true"
Name="Subtle Emphasis"/>
<w:LsdException Locked="false" Priority="21" QFormat="true"
Name="Intense Emphasis"/>
<w:LsdException Locked="false" Priority="31" QFormat="true"
Name="Subtle Reference"/>
<w:LsdException Locked="false" Priority="32" QFormat="true"
Name="Intense Reference"/>
<w:LsdException Locked="false" Priority="33" QFormat="true" Name="Book Title"/>
<w:LsdException Locked="false" Priority="37" SemiHidden="true"
UnhideWhenUsed="true" Name="Bibliography"/>
<w:LsdException Locked="false" Priority="39" SemiHidden="true"
UnhideWhenUsed="true" QFormat="true" Name="TOC Heading"/>
<w:LsdException Locked="false" Priority="41" Name="Plain Table 1"/>
<w:LsdException Locked="false" Priority="42" Name="Plain Table 2"/>
<w:LsdException Locked="false" Priority="43" Name="Plain Table 3"/>
<w:LsdException Locked="false" Priority="44" Name="Plain Table 4"/>
<w:LsdException Locked="false" Priority="45" Name="Plain Table 5"/>
<w:LsdException Locked="false" Priority="40" Name="Grid Table Light"/>
<w:LsdException Locked="false" Priority="46" Name="Grid Table 1 Light"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark"/>
<w:LsdException Locked="false" Priority="51" Name="Grid Table 6 Colorful"/>
<w:LsdException Locked="false" Priority="52" Name="Grid Table 7 Colorful"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 1"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 1"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 1"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 1"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 1"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 1"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 1"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 2"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 2"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 2"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 2"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 2"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 2"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 2"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 3"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 3"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 3"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 3"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 3"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 3"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 3"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 4"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 4"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 4"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 4"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 4"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 4"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 4"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 5"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 5"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 5"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 5"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 5"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 5"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 5"/>
<w:LsdException Locked="false" Priority="46"
Name="Grid Table 1 Light Accent 6"/>
<w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 6"/>
<w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 6"/>
<w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 6"/>
<w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 6"/>
<w:LsdException Locked="false" Priority="51"
Name="Grid Table 6 Colorful Accent 6"/>
<w:LsdException Locked="false" Priority="52"
Name="Grid Table 7 Colorful Accent 6"/>
<w:LsdException Locked="false" Priority="46" Name="List Table 1 Light"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark"/>
<w:LsdException Locked="false" Priority="51" Name="List Table 6 Colorful"/>
<w:LsdException Locked="false" Priority="52" Name="List Table 7 Colorful"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 1"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 1"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 1"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 1"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 1"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 1"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 1"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 2"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 2"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 2"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 2"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 2"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 2"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 2"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 3"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 3"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 3"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 3"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 3"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 3"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 3"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 4"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 4"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 4"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 4"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 4"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 4"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 4"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 5"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 5"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 5"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 5"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 5"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 5"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 5"/>
<w:LsdException Locked="false" Priority="46"
Name="List Table 1 Light Accent 6"/>
<w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 6"/>
<w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 6"/>
<w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 6"/>
<w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 6"/>
<w:LsdException Locked="false" Priority="51"
Name="List Table 6 Colorful Accent 6"/>
<w:LsdException Locked="false" Priority="52"
Name="List Table 7 Colorful Accent 6"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Mention"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Smart Hyperlink"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Hashtag"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Unresolved Mention"/>
<w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"
Name="Smart Link"/>
</w:LatentStyles>
</xml><![endif]-->
<style>
<!--
/* Font Definitions */
@font-face
{font-family:Wingdings;
panose-1:5 0 0 0 0 0 0 0 0 0;
mso-font-charset:2;
mso-generic-font-family:auto;
mso-font-pitch:variable;
mso-font-signature:0 268435456 0 0 -2147483648 0;}
@font-face
{font-family:"Cambria Math";
panose-1:2 4 5 3 5 4 6 3 2 4;
mso-font-charset:0;
mso-generic-font-family:roman;
mso-font-pitch:variable;
mso-font-signature:3 0 0 0 1 0;}
@font-face
{font-family:DengXian;
panose-1:2 1 6 0 3 1 1 1 1 1;
mso-font-alt:\7B49\7EBF;
mso-font-charset:134;
mso-generic-font-family:auto;
mso-font-pitch:variable;
mso-font-signature:-1610612033 953122042 22 0 262159 0;}
@font-face
{font-family:Calibri;
panose-1:2 15 5 2 2 2 4 3 2 4;
mso-font-charset:0;
mso-generic-font-family:swiss;
mso-font-pitch:variable;
mso-font-signature:-536858881 -1073732485 9 0 511 0;}
@font-face
{font-family:"\@DengXian";
panose-1:2 1 6 0 3 1 1 1 1 1;
mso-font-charset:134;
mso-generic-font-family:auto;
mso-font-pitch:variable;
mso-font-signature:-1610612033 953122042 22 0 262159 0;}
/* Style Definitions */
p.MsoNormal, li.MsoNormal, div.MsoNormal
{mso-style-unhide:no;
mso-style-qformat:yes;
mso-style-parent:"";
margin-top:0in;
margin-right:0in;
margin-bottom:8.0pt;
margin-left:0in;
line-height:106%;
mso-pagination:widow-orphan;
font-size:11.0pt;
font-family:"Calibri",sans-serif;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
h2
{mso-style-noshow:yes;
mso-style-priority:9;
mso-style-qformat:yes;
mso-style-link:"Heading 2 Char";
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
mso-pagination:widow-orphan;
mso-outline-level:2;
font-size:18.0pt;
font-family:"Times New Roman",serif;
font-weight:bold;}
h3
{mso-style-noshow:yes;
mso-style-priority:9;
mso-style-qformat:yes;
mso-style-link:"Heading 3 Char";
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
mso-pagination:widow-orphan;
mso-outline-level:3;
font-size:13.5pt;
font-family:"Times New Roman",serif;
font-weight:bold;}
p.MsoHeader, li.MsoHeader, div.MsoHeader
{mso-style-priority:99;
mso-style-link:"Header Char";
margin:0in;
mso-pagination:widow-orphan;
tab-stops:center 3.25in right 6.5in;
font-size:11.0pt;
font-family:"Calibri",sans-serif;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
p.MsoFooter, li.MsoFooter, div.MsoFooter
{mso-style-priority:99;
mso-style-link:"Footer Char";
margin:0in;
mso-pagination:widow-orphan;
tab-stops:center 3.25in right 6.5in;
font-size:11.0pt;
font-family:"Calibri",sans-serif;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
a:link, span.MsoHyperlink
{mso-style-noshow:yes;
mso-style-priority:99;
color:blue;
text-decoration:underline;
text-underline:single;}
a:visited, span.MsoHyperlinkFollowed
{mso-style-noshow:yes;
mso-style-priority:99;
color:#954F72;
mso-themecolor:followedhyperlink;
text-decoration:underline;
text-underline:single;}
p
{mso-style-priority:99;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
mso-pagination:widow-orphan;
font-size:12.0pt;
font-family:"Times New Roman",serif;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;}
span.Heading2Char
{mso-style-name:"Heading 2 Char";
mso-style-noshow:yes;
mso-style-priority:9;
mso-style-unhide:no;
mso-style-locked:yes;
mso-style-link:"Heading 2";
mso-ansi-font-size:18.0pt;
mso-bidi-font-size:18.0pt;
font-family:"Times New Roman",serif;
mso-ascii-font-family:"Times New Roman";
mso-hansi-font-family:"Times New Roman";
mso-bidi-font-family:"Times New Roman";
font-weight:bold;}
span.Heading3Char
{mso-style-name:"Heading 3 Char";
mso-style-noshow:yes;
mso-style-priority:9;
mso-style-unhide:no;
mso-style-locked:yes;
mso-style-link:"Heading 3";
mso-ansi-font-size:13.5pt;
mso-bidi-font-size:13.5pt;
font-family:"Times New Roman",serif;
mso-ascii-font-family:"Times New Roman";
mso-hansi-font-family:"Times New Roman";
mso-bidi-font-family:"Times New Roman";
font-weight:bold;}
p.msonormal0, li.msonormal0, div.msonormal0
{mso-style-name:msonormal;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-unhide:no;
mso-margin-top-alt:auto;
margin-right:0in;
mso-margin-bottom-alt:auto;
margin-left:0in;
mso-pagination:widow-orphan;
font-size:12.0pt;
font-family:"Times New Roman",serif;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;}
span.HeaderChar
{mso-style-name:"Header Char";
mso-style-priority:99;
mso-style-unhide:no;
mso-style-locked:yes;
mso-style-link:Header;
mso-ansi-font-size:11.0pt;
mso-bidi-font-size:11.0pt;}
span.FooterChar
{mso-style-name:"Footer Char";
mso-style-priority:99;
mso-style-unhide:no;
mso-style-locked:yes;
mso-style-link:Footer;
mso-ansi-font-size:11.0pt;
mso-bidi-font-size:11.0pt;}
span.SpellE
{mso-style-name:"";
mso-spl-e:yes;}
span.GramE
{mso-style-name:"";
mso-gram-e:yes;}
.MsoChpDefault
{mso-style-type:export-only;
mso-default-props:yes;
font-size:10.0pt;
mso-ansi-font-size:10.0pt;
mso-bidi-font-size:10.0pt;
font-family:"Calibri",sans-serif;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:DengXian;
mso-fareast-theme-font:minor-fareast;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
/* Page Definitions */
@page
{mso-footnote-separator:url("table_files/header.htm") fs;
mso-footnote-continuation-separator:url("table_files/header.htm") fcs;
mso-endnote-separator:url("table_files/header.htm") es;
mso-endnote-continuation-separator:url("table_files/header.htm") ecs;}
@page WordSection1
{size:8.5in 11.0in;
margin:1.0in 1.0in 1.0in 1.0in;
mso-header-margin:.5in;
mso-footer-margin:.5in;
mso-paper-source:0;}
div.WordSection1
{page:WordSection1;}
/* List Definitions */
@list l0
{mso-list-id:11732666;
mso-list-template-ids:2111712486;}
@list l0:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l0:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l0:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l0:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1
{mso-list-id:410156038;
mso-list-template-ids:-1470042962;}
@list l1:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l1:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l1:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l1:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2
{mso-list-id:659305964;
mso-list-template-ids:-940139264;}
@list l2:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l2:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l2:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l2:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3
{mso-list-id:670837195;
mso-list-template-ids:-199311850;}
@list l3:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l3:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l3:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l3:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4
{mso-list-id:719667201;
mso-list-template-ids:737985950;}
@list l4:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l4:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l4:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l4:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5
{mso-list-id:934561155;
mso-list-template-ids:-755338196;}
@list l5:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l5:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l5:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l5:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6
{mso-list-id:1014116130;
mso-list-template-ids:-685502278;}
@list l6:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l6:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l6:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l6:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7
{mso-list-id:1332105724;
mso-list-template-ids:-767685534;}
@list l7:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l7:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l7:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l7:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8
{mso-list-id:1697923244;
mso-list-template-ids:-1786481070;}
@list l8:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l8:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l8:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l8:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9
{mso-list-id:1826627832;
mso-list-template-ids:21380634;}
@list l9:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l9:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l9:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l9:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10
{mso-list-id:2013528349;
mso-list-template-ids:-491626194;}
@list l10:level1
{mso-level-number-format:bullet;
mso-level-text:\F0B7;
mso-level-tab-stop:.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Symbol;}
@list l10:level2
{mso-level-number-format:bullet;
mso-level-text:o;
mso-level-tab-stop:1.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:"Courier New";
mso-bidi-font-family:"Times New Roman";}
@list l10:level3
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:1.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level4
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level5
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:2.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level6
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level7
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:3.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level8
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.0in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
@list l10:level9
{mso-level-number-format:bullet;
mso-level-text:\F0A7;
mso-level-tab-stop:4.5in;
mso-level-number-position:left;
text-indent:-.25in;
mso-ansi-font-size:10.0pt;
font-family:Wingdings;}
ol
{margin-bottom:0in;}
ul
{margin-bottom:0in;}
-->
</style>
<!--[if gte mso 10]>
<style>
/* Style Definitions */
table.MsoNormalTable
{mso-style-name:"Table Normal";
mso-tstyle-rowband-size:0;
mso-tstyle-colband-size:0;
mso-style-noshow:yes;
mso-style-priority:99;
mso-style-parent:"";
mso-padding-alt:0in 5.4pt 0in 5.4pt;
mso-para-margin:0in;
mso-pagination:widow-orphan;
font-size:10.0pt;
font-family:"Calibri",sans-serif;
mso-ascii-font-family:Calibri;
mso-ascii-theme-font:minor-latin;
mso-hansi-font-family:Calibri;
mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;}
</style>
<![endif]--><!--[if gte mso 9]><xml>
<o:shapedefaults v:ext="edit" spidmax="2049"/>
</xml><![endif]--><!--[if gte mso 9]><xml>
<o:shapelayout v:ext="edit">
<o:idmap v:ext="edit" data="1"/>
</o:shapelayout></xml><![endif]-->
</head>
<body lang=EN-US link=blue vlink="#954F72" style='tab-interval:.5in;word-wrap:
break-word'>
<div class=WordSection1>
<p>Notes:</p>
<ul type=disc>
<li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;
line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span
style='mso-fareast-font-family:"Times New Roman"'>Table somewhat
simplified<o:p></o:p></span></li>
<li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;
line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span
style='mso-fareast-font-family:"Times New Roman"'>&quot;if <span
class=SpellE>alloc</span>&quot; means <span class=SpellE>doCacheFill</span>
is set and <span class=SpellE>dataToBeInvalid</span>=false<o:p></o:p></span></li>
<li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;
line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span
style='mso-fareast-font-family:"Times New Roman"'>On a <span class=SpellE>ReadNotSharedDirty</span>,
a the corresponding <span class=SpellE>SnpNotSharedDirty</span> is sent<o:p></o:p></span></li>
</ul>
<table class=MsoNormalTable border=1 cellspacing=0 cellpadding=0
style='border-collapse:collapse;border:none;mso-border-alt:solid windowtext .75pt;
mso-yfti-tbllook:1184;mso-padding-alt:0in 5.4pt 0in 5.4pt'>
<tr style='mso-yfti-irow:0;mso-yfti-firstrow:yes'>
<td width=140 style='width:104.95pt;border:solid windowtext 1.0pt;mso-border-alt:
solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;
mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman"'>Request source<o:p></o:p></span></b></p>
</td>
<td width=184 style='width:137.65pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>Incoming request</span></b><b><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></b></p>
</td>
<td width=150 style='width:112.5pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p align=center style='text-align:center;line-height:106%'><b><span
style='color:black;mso-color-alt:windowtext'>Data state</span><o:p></o:p></b></p>
</td>
<td width=162 style='width:121.5pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p align=center style='text-align:center;line-height:106%'><b><span
style='color:black;mso-color-alt:windowtext'>Directory state</span><o:p></o:p></b></p>
</td>
<td width=186 style='width:139.5pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p align=center style='text-align:center;line-height:106%'><b><span
style='color:black;mso-color-alt:windowtext'>Outgoing snoop</span><o:p></o:p></b></p>
</td>
<td width=228 style='width:171.0pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p align=center style='text-align:center;line-height:106%'><b><span
style='color:black;mso-color-alt:windowtext'>Outgoing requests (after snoop)</span><o:p></o:p></b></p>
</td>
<td width=234 style='width:175.5pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>Possible final data states</span></b><b><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></b></p>
</td>
<td width=210 style='width:157.5pt;border:solid windowtext 1.0pt;border-left:
none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;
background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:
217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>Possible final directory states</span></b><b><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>
</td>
</tr>
<tr style='mso-yfti-irow:1;page-break-inside:avoid'>
<td width=140 rowspan=28 style='width:104.95pt;border:solid windowtext 1.0pt;
border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:
solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;
mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='margin-bottom:12.0pt;text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>Upstream cache<br>
<br>
<br>
<br>
<br>
<br>
<br>
<br>
<br>
<br>
<br>
<br style='mso-special-character:line-break'>
<![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>
<![endif]></span></b><b><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>
</td>
<td width=184 rowspan=5 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>ReadShared</span></strong></span></p>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>ReadNotSharedDirty</span></strong></span><span
style='color:black;mso-color-alt:windowtext'><br>
<br style='mso-special-character:line-break'>
<![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>
<![endif]></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>I</span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadShared</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no HNF)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadNotSharedDirty</span></span><span
style='color:black;mso-color-alt:windowtext'> (if no HNF and SD state not
allowed by configuration)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;
mso-color-alt:windowtext'> (if HNF)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> data state (if <span class=SpellE>alloc</span>) or I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:2;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>RSC,RUSC</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpOnce</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> data state (if <span class=SpellE>alloc</span>) or I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:3;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, RSC, <span class=GramE>RSD,RUSC</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:4;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,UC</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>,I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpShared</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous or UC/UD (if <span
class=SpellE>alloc</span>)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:5;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>RSD,
RUSD</span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpShared</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC/UD/UC (if <span class=SpellE>alloc</span>)
or I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:6;page-break-inside:avoid'>
<td width=184 rowspan=6 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>ReadUnique</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'><br>
<br>
<br>
<br>
<br>
<br style='mso-special-character:line-break'>
<![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>
<![endif]></span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no HNF)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;
mso-color-alt:windowtext'> (if HNF)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UC (if <span class=SpellE>alloc</span>)
or I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:7;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>-</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:8;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpUnique</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpUniqueFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:9;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC, I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RUSD, RUSC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpUnique</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpUniqueFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous or UC (if <span
class=SpellE>alloc</span>)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:10;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no HNF)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:11;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no HNF)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:12;page-break-inside:avoid'>
<td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>CleanUnique</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RUSC, RUSD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:13;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:14;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, SC, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>CleanUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> (if no HNF)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:15;page-break-inside:avoid'>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Evict</span></strong><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:16;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Evict (if not HNF)</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:17;page-break-inside:avoid'>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteBackFull</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RU</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD ,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:18;page-break-inside:avoid'>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteEvictFull</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC, I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:19;page-break-inside:avoid'>
<td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>ReadOnce</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RUSC RU, RSC, I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:20;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RUSC, RU, RSC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpOnce</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no DCT)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>SnpOnceFwd</span></span><span style='color:black;
mso-color-alt:windowtext'> (if DCT)</span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:21;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadOnce</span></span><span style='color:black;
mso-color-alt:windowtext'> (if no HNF)</span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;
mso-color-alt:windowtext'> (if HNF)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:22;page-break-inside:avoid'>
<td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:23;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:24;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SD, SC, I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC, I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:25;page-break-inside:avoid'>
<td width=184 rowspan=4 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></strong></span></p>
<p style='line-height:106%'><span class=GramE><span style='color:black;
mso-color-alt:windowtext'>( at</span></span><span style='color:black;
mso-color-alt:windowtext'> HNF)</span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:26;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:27;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSD, RUSD, RU</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnp</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:28;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnpPtl</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:29;page-break-inside:avoid'>
<td width=140 rowspan=11 style='width:104.95pt;border:solid windowtext 1.0pt;
border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:
solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;
mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><strong><span
style='font-family:"Calibri",sans-serif;mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";mso-bidi-theme-font:minor-bidi;
color:black;mso-color-alt:windowtext'>Internal</span></strong><b><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>
</td>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>LocalEvictionHNF</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnp</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'><br style='mso-special-character:line-break'>
<![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>
<![endif]></span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:30;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC, SC, SD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:31;page-break-inside:avoid'>
<td width=184 rowspan=6 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>LocalEviction</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Evict</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:32;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:33;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:34;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU, RSD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU, RSD, RUSD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:35;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:36;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:37;page-break-inside:avoid'>
<td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>GlobalEviction</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> / <span class=SpellE>WriteNoSnp</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:38;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RU</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> (if not HNF)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:39;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Evict (if not HNF)</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:40;page-break-inside:avoid'>
<td width=140 rowspan=6 style='width:104.95pt;border:solid windowtext 1.0pt;
border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:
solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;
mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal align=center style='text-align:center'><b><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>Downstream cache</span></b><b><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></b></p>
</td>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></strong></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>,UC, SC</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:41;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>,UC, SC, I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RU, RSD, RUSD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:42;page-break-inside:avoid'>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>SnpUnique</span></strong></span><strong><span
style='font-family:"Calibri",sans-serif;mso-ascii-theme-font:minor-latin;
mso-fareast-font-family:"Times New Roman";mso-hansi-theme-font:minor-latin;
mso-bidi-font-family:"Times New Roman";mso-bidi-theme-font:minor-bidi;
color:black;mso-color-alt:windowtext'> <span class=SpellE>SnpUniqueFwd</span></span></strong><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>,UC, SC</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:43;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'>,UC, SC, I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC, RU, RSD, RUSD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:44;page-break-inside:avoid'>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>SnpShared</span></strong></span><strong><span
style='color:black;mso-color-alt:windowtext'> <span class=SpellE>SnpSharedFwd</span></span></strong></p>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>SnpNotSharedDirty</span></strong></span></p>
<p style='line-height:106%'><span class=SpellE><strong><span
style='color:black;mso-color-alt:windowtext'>SnpNotSharedDirtyFwd</span></strong></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:45;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC, I</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RU, RSD, RUSD</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>SnpShared</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I, SC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:46;page-break-inside:avoid'>
<td width=140 rowspan=6 style='width:104.95pt;border:solid windowtext 1.0pt;
border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:
solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;
mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Local
CPU/DMA port </span></strong><span style='color:black;mso-color-alt:windowtext'>(<span
class=GramE>i.e.</span> incoming from sequencer through the “<span
class=SpellE>mandatoryQueue</span>”)</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><strong><span style='color:black;mso-color-alt:
windowtext'>Load</span></strong></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:47;page-break-inside:avoid'>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadShared</span></span></p>
<p style='line-height:106%'><span class=SpellE><span style='color:black;
mso-color-alt:windowtext'>ReadNotSharedDirty</span></span><span
style='color:black;mso-color-alt:windowtext'> (if SD state not allowed by
configuration)</span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> data state</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:48;page-break-inside:avoid'>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Load</span></strong><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> (<span class=SpellE>alloc_seq</span> = false)</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>ReadOnce</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:
accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>
</tr>
<tr style='mso-yfti-irow:49;page-break-inside:avoid'>
<td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:
none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Store</span></strong><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:50;page-break-inside:avoid'>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>SD, SC, I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>ReadUnique</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>UD_T</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
<tr style='mso-yfti-irow:51;mso-yfti-lastrow:yes;page-break-inside:avoid'>
<td width=184 style='width:137.65pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;
mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";
mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";
mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Store</span></strong><span
style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:
windowtext'> (<span class=SpellE>alloc_seq</span> = false)</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=150 style='width:112.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=162 style='width:121.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=186 style='width:139.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=228 style='width:171.0pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:
"Times New Roman";color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=234 style='width:175.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:
"Times New Roman"'><o:p></o:p></span></p>
</td>
<td width=210 style='width:157.5pt;border-top:none;border-left:none;
border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;
mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;
mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:
accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>
<p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";
color:black;mso-color-alt:windowtext'>Same as previous</span><span
style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>
</td>
</tr>
</table>
<p class=MsoNormal><o:p>&nbsp;</o:p></p>
</div>
</body>
</html>