misc: Merge branch 'stable' into develop

Change-Id: I5e2774bc06bb380f8ffe48cf16323aa6dd9f52e4
diff --git a/README.md b/README.md
index 86d1b17..d1984ce 100755
--- a/README.md
+++ b/README.md
@@ -9,8 +9,8 @@
 
 ## Development
 
-You may clone repository the repository, and run a local instance of the
-website using:
+You may clone the repository, and run a local instance of the website
+using:
 
 ```
 git clone https://gem5.googlesource.com/public/gem5-website
@@ -94,7 +94,7 @@
 for a change to receive feedback from reviewers that they want incorporated
 before flagging as acceptable for merging into the gem5 website repository.
 **All communications between reviewers and contributors should be done in a
-polite manner. Rude and/or dismissive remakes will not be tolerated**.
+polite manner. Rude and/or dismissive remarks will not be tolerated**.
 
 Once your change has been accepted by reviewers you will be able to click
 `Submit` within your changes Gerrit page. This focally merges the change
@@ -122,7 +122,7 @@
 
 #### _pages
 
-All pages (other than the index.html home page) should be placed in this folder. There is a subfolder /documentation where pages meant for documentation part of the site can be kept. This is purely for organization and ease of finding things. Reorganizing the _pages folder should not affect the site.
+All pages (other than the index.html home page) should be placed in this folder. There is a subfolder /documentation where pages meant for the documentation part of the site can be kept. This is purely for organization and ease of finding things. Reorganizing the _pages folder should not affect the site.
 
 #### _posts
 
@@ -173,7 +173,7 @@
 </li>
 ```
 
-Replace `about` in `{% if page.parent == "about" %}` to a word that will represent the parent of all pages in the submenu. Make sure the frontmatter in those pages include parent: [your_parent_identifier].
+Replace `about` in `{% if page.parent == "about" %}` with a word that will represent the parent of all pages in the submenu. Make sure the frontmatter in those pages includes parent: [your_parent_identifier].
 Replace the permalink and title in all the `<a></a>` submenu items.
 
 
diff --git a/_data/documentation.yml b/_data/documentation.yml
index b999970..61f0573 100755
--- a/_data/documentation.yml
+++ b/_data/documentation.yml
@@ -36,6 +36,10 @@
           url: http://doxygen.gem5.org/release/v20-1-0-0/index.html
         - page: v20.1.0.1
           url: http://doxygen.gem5.org/release/v20-1-0-1/index.html
+        - page: v20.1.0.5
+          url: http://doxygen.gem5.org/release/v20-1-0-5/index.html
+        - page: v21.0.0.0
+          url: http://doxygen.gem5.org/release/v21-0-0-0/index.html
 
     - title: gem5 Resources
       id: gem5_resources
@@ -109,6 +113,8 @@
           url: /documentation/general_docs/ruby/MOESI_CMP_token
         - page: MESI two level
           url: /documentation/general_docs/ruby/MESI_Two_Level
+        - page: CHI
+          url: /documentation/general_docs/ruby/CHI
         - page: Replacement Policies
           url: /documentation/general_docs/memory_system/replacement_policies
 
@@ -211,6 +217,10 @@
           url: /documentation/learning_gem5/part1/example_configs
         - page: Extending gem5 for ARM
           url: /documentation/learning_gem5/part1/extending_configs
+        - page: ARM Power Modelling
+          url: /documentation/learning_gem5/part1/arm_power_modelling
+        - page: ARM DVFS Support
+          url: /documentation/learning_gem5/part1/arm_dvfs_support
 
     - title: Modifying/Extending
       id: part2
diff --git a/_pages/documentation/general_docs/building/index.md b/_pages/documentation/general_docs/building/index.md
index 91404f5..1c29599 100644
--- a/_pages/documentation/general_docs/building/index.md
+++ b/_pages/documentation/general_docs/building/index.md
@@ -25,15 +25,9 @@
 [In later versions of gem5, we hope to more effectively support Mac OS through
 improved testing](https://gem5.atlassian.net/browse/GEM5-538).
 
-As of gem5 20, **building and running gem5 in both Python 2 and Python 3 are
-supported**. When testing gem5 we primarily test using Ubuntu 18.04 with
-Python 2, and Ubuntu 20.04 with Python 3. We would therefore advice, if
-possible, for users to **utilize Python 2 in Ubuntu 18.04 and Python 3 in
-Ubuntu 20.04**. Though we shall eventually
-[drop support for Python 2](https://gem5.atlassian.net/browse/GEM5-347),
-(due to [its retirement](
-http://pyfound.blogspot.com/2019/12/python-2-sunset.html)), we do not intend to
-do so until we receive ample evidence our community has migrated to Python 3.
+As of gem5 21.0, **we support building and running gem5 with Python 3.6+
+only.**. gem5 20.0 was our last version of gem5 to provide support for Python
+2.
 
 If running gem5 in a suitable OS/environment is not possible, we have provided
 pre-prepared [Docker](https://www.docker.com/) images which may be used to
@@ -49,9 +43,8 @@
 Clang 9 (inclusive).
 * **SCons** : gem5 uses SCons as its build environment. SCons 3.0 or greater
 must be used.
-* **Python** : gem5 relies on Python development libraries. As of gem5 version
-20, gem5 can be compiled and run in environments using either Python 2.7 or
-Python 3
+* **Python 3.6+** : gem5 relies on Python development libraries. gem5 can be
+compiled and run in environments using Python 3.6+.
 * **protobuf 2.1+** (Optional): The protobuf library is used for trace
 generation and playback.
 * **Boost** (Optional): The Boost library is a set of general purpose C++
@@ -66,11 +59,9 @@
 ```
 sudo apt install build-essential git m4 scons zlib1g zlib1g-dev \
     libprotobuf-dev protobuf-compiler libprotoc-dev libgoogle-perftools-dev \
-    python-dev python-six python libboost-all-dev pkg-config
+    python3-dev python3-six python libboost-all-dev pkg-config
 ```
 
-This will create an environment which uses Python 2.
-
 ### Setup on Ubuntu 20.04
 
 If compiling gem5 on Ubuntu 20.04, or related Linux distributions, you may
@@ -82,25 +73,23 @@
     python3-dev python3-six python-is-python3 libboost-all-dev pkg-config
 ```
 
-This will create an environment which uses Python 3.
-
 ### Docker
 
 For users struggling to setup an environment to build and run gem5, we provide
 the following Docker Images:
 
-Ubuntu 18.04 with all optional dependencies (Python 2):
+Ubuntu 18.04 with all optional dependencies:
 [gcr.io/gem5-test/ubuntu-18.04_all-dependencies](
 https://gcr.io/gem5-test/ubuntu-18.04_all-dependencies) ([source Dockerfile](
 https://gem5.googlesource.com/public/gem5/+/refs/heads/stable/util/dockerfiles/ubuntu-18.04_all-dependencies/Dockerfile)).
 
-Ubuntu 18.04 with the minimum set of dependencies (Python 2):
+Ubuntu 18.04 with the minimum set of dependencies:
 [gcr.io/gem5-test/ubuntu-18.04_min-dependencies](
 https://gcr.io/gem5-test/ubuntu-18.04_min-dependencies) ([source Dockerfile](
 https://gem5.googlesource.com/public/gem5/+/refs/heads/stable/util/dockerfiles/ubuntu-18.04_min-dependencies/Dockerfile)).
 
 
-Ubuntu 20.04 with all optional dependencies (Python 3):
+Ubuntu 20.04 with all optional dependencies:
 [gcr.io/gem5-test/ubuntu-20.04_all-dependencies](
 https://gcr.io/gem5-test/ubuntu-20.04_all-dependencies) ([source Dockerfile](
 https://gem5.googlesource.com/public/gem5/+/refs/heads/stable/util/dockerfiles/ubuntu-20.04_all-dependencies/Dockerfile)).
diff --git a/_pages/documentation/general_docs/fullsystem/building_arm_kernel.md b/_pages/documentation/general_docs/fullsystem/building_arm_kernel.md
index 72a1c96..5e22321 100644
--- a/_pages/documentation/general_docs/fullsystem/building_arm_kernel.md
+++ b/_pages/documentation/general_docs/fullsystem/building_arm_kernel.md
@@ -10,7 +10,7 @@
 
 This page contains instructions for building up-to-date kernels for gem5 running on ARM. 
 
-If you don't want to build the Kernel on your own you could still [download a
+If you don't want to build the Kernel (or a disk image) on your own you could still [download a
 prebuilt version](./guest_binaries).
 
 ## Prerequisites
@@ -78,8 +78,8 @@
 Testing the just built kernel:
 
 ```
-./build/ARM/gem5.opt configs/example/fs.py --kernel=/tmp/linux-arm-gem5/vmlinux --machine-type=VExpress_GEM5_V1 \
-    --dtb-file=$PWD/system/arm/dt/armv8_gem5_v1_1cpu.dtb --disk-image=linaro-minimal-aarch64.img
+./build/ARM/gem5.opt configs/example/arm/starter_fs.py --kernel=/tmp/linux-arm-gem5/vmlinux \
+    --disk-image=ubuntu-18.04-arm64-docker.img
 ```
 
 # Legacy kernels (pre v4.x)
diff --git a/_pages/documentation/general_docs/fullsystem/guest_binaries.md b/_pages/documentation/general_docs/fullsystem/guest_binaries.md
index 8e35f0e..1cbd6a3 100644
--- a/_pages/documentation/general_docs/fullsystem/guest_binaries.md
+++ b/_pages/documentation/general_docs/fullsystem/guest_binaries.md
@@ -30,15 +30,25 @@
 ##### Latest Linux Disk Images (**recommended**)
 
 * <http://dist.gem5.org/dist/current/arm/disks/ubuntu-18.04-arm64-docker.img.bz2>
-* <http://dist.gem5.org/dist/current/arm/disks/aarch64-ubuntu-trusty-headless.img.bz2>
+
+  Partition table: yes
+
+  gem5 init: `/init.gem5`
+
 * <http://dist.gem5.org/dist/current/arm/disks/aarch32-ubuntu-natty-headless.img.bz2>
-* <http://dist.gem5.org/dist/current/arm/disks/linaro-minimal-aarch64.img.bz2>
-* <http://dist.gem5.org/dist/current/arm/disks/linux-aarch32-ael.img.bz2>
 
 ##### Old Linux Kernel/Disk Image
 
 These images are not supported. If you run into problems, we will do our best to help, but there is no guarantee these will work with the latest gem5 version
 
+###### Disk images only
+
+* <http://dist.gem5.org/dist/current/arm/disks/aarch64-ubuntu-trusty-headless.img.bz2>
+* <http://dist.gem5.org/dist/current/arm/disks/linaro-minimal-aarch64.img.bz2>
+* <http://dist.gem5.org/dist/current/arm/disks/linux-aarch32-ael.img.bz2>
+
+###### Disk and kernel images
+
 * <http://dist.gem5.org/dist/current/arm/aarch-system-20170616.tar.xz>
 * <http://dist.gem5.org/dist/current/arm/aarch-system-20180409.tar.xz>
 * <http://dist.gem5.org/dist/current/arm/arm-system-dacapo-2011-08.tgz>
diff --git a/_pages/documentation/general_docs/gpu_models/gcn3.md b/_pages/documentation/general_docs/gpu_models/gcn3.md
index f7a2811..fe006a7 100644
--- a/_pages/documentation/general_docs/gpu_models/gcn3.md
+++ b/_pages/documentation/general_docs/gpu_models/gcn3.md
@@ -18,6 +18,10 @@
 
 ## **Using the model**
 
+Currently, the GCN3 GPU model in gem5 is only supported on the develop branch.
+Thus, you should use the develop branch when running the GCN3 model.
+We expect this support to be integrated into the gem5-v21.0 release.
+
 The [gem5 repository](https://gem5.goooglesource.com/public/gem5) comes with a dockerfile located in `util/dockerfiles/gcn-gpu/`. This dockerfile contains the drivers and libraries needed to run the GPU model
 
 The [gem5-resources repository](https://gem5.googlesource.com/public/gem5-resources/) also comes with a sample application (square) that can be used to verify that the model runs correctly.
@@ -82,7 +86,7 @@
 * [MIOpen](https://github.com/ROCmSoftwarePlatform/MIOpen/)
 * [rocm-cmake](https://github.com/RadeonOpenCompute/rocm-cmake/)
 
-For information about installing these components locally, the commands in the GCN3 dockerfile (`util/dockerfiles/gcn-gpu/`) can be followed on an ubuntu 16 machine.
+For information about installing these components locally, the commands in the GCN3 dockerfile (`util/dockerfiles/gcn-gpu/`) can be followed on an Ubuntu 16 machine.
 
 ## **Documentation and Tutorials**
 
diff --git a/_pages/documentation/general_docs/m5ops.md b/_pages/documentation/general_docs/m5ops.md
index 8a84387..d5a6eaf 100644
--- a/_pages/documentation/general_docs/m5ops.md
+++ b/_pages/documentation/general_docs/m5ops.md
@@ -8,11 +8,11 @@
 
 # M5ops
 
-This page explains the special opcodes that can be used in M5 to do checkpoints etc. The m5 utility program (on our disk image and in util/m5/*) provides some of this functionality on the command line. In many cases it is best to insert the operation directly in the source code of your application of interest. You should be able to link with the appropriate m5op_ARCH.o file and the m5op.h header file has prototypes for all the functions.
+This page explains the special opcodes that can be used in M5 to do checkpoints etc. The m5 utility program (on our disk image and in util/m5/*) provides some of this functionality on the command line. In many cases it is best to insert the operation directly in the source code of your application of interest. You should be able to link with the appropriate libm5.a file and the m5ops.h header file has prototypes for all the functions.
 
-## Building M5
+## Building M5 and libm5
 
-In order to build m5 for your target ISA, run the following command in util/m5/ directory.
+In order to build m5 and libm5.a for your target ISA, run the following command in the util/m5/ directory.
 
 ```bash
 scons build/{TARGET_ISA}/out/m5
@@ -30,7 +30,7 @@
 
 ## The m5 Utility (FS mode)
 
-he m5 utility (see util/m5/) can be used in FS mode to issue special instructions to trigger simulation specific functionality. It currently offers the following options:
+The m5 utility (see util/m5/) can be used in FS mode to issue special instructions to trigger simulation specific functionality. It currently offers the following options:
 
 * initparam: Deprecated, present only for old binary compatibility
 * exit [delay]: Stop the simulation in delay nanoseconds.
@@ -75,7 +75,7 @@
 }
 ```
 
-When building you need to make sure classpath include gem5OpJni.jar:
+When building you need to make sure classpath includes gem5OpJni.jar:
 
 ```javascript
 javac -classpath $CLASSPATH:/path/to/gem5OpJni.jar HelloWorld.java
@@ -91,6 +91,20 @@
 
 gem5's special opcodes (psuedo instructions) can be used with Fortran programs. In the Fortran code, one can add calls to C functions that invoke the special opcode. While creating the final binary, compile the object files for the Fortran program and the C program (for opcodes) together. I found the documentation provided [here](https://gcc.gnu.org/wiki/GFortranGettingStarted) useful. Read the section **-****- Compiling a mixed C-Fortran program**.
 
-## Linking M5 to your code
+## Linking M5 to your C/C++ code
 
-In order to link m5 to your code you have to add ```gem5/include/gem/asm/generic/m5ops.h``` and ```gem5/util/m5/src/m5_mmap.h``` to your include path and add ```gem5/util/m5/src/m5_mmap.c``` and ```gem5/util/m5/src/{TARGET_ISA}/m5op.S``` to your source path and add m5op_{TARGET_ISA} and m5_mmap as objects to your object list. For an example on how to do this with PARSEC benchmark look [here](https://github.com/darchr/parsec-benchmark/blob/gem5-20-annotations/pkgs/libs/hooks/src/Makefile.shared)
+In order to link m5 to your code, first build `libm5.a` as described in the section above.
+
+Then
+
+* Include `gem5/m5ops.h` in your source file(s)
+* Add `gem5/include` to your compiler's include search path
+* Add `gem5/util/m5/build/{TARGET_ISA}/out` to the linker search path
+* Link against `libm5.a`
+
+For example, this could be achieved by adding the following to your Makefile:
+
+```
+CFLAGS += -I$(GEM5_PATH)/include
+LDFLAGS += -L$(GEM5_PATH)/util/m5/build/$(TARGET_ISA)/out -lm5
+```
diff --git a/_pages/documentation/general_docs/ruby/CHI.md b/_pages/documentation/general_docs/ruby/CHI.md
new file mode 100644
index 0000000..a5651b1
--- /dev/null
+++ b/_pages/documentation/general_docs/ruby/CHI.md
@@ -0,0 +1,547 @@
+---
+layout: documentation
+title: "CHI"
+doc: gem5 documentation
+parent: ruby
+permalink: /documentation/general_docs/ruby/CHI/
+author: Tiago Mück
+---
+
+# CHI
+
+The CHI ruby protocol provides a single cache controller that can be reused at multiple levels of the cache hierarchy and configured to model multiple instances of MESI and MOESI cache coherency protocols. This implementation is based of [Arm's AMBA 5 CHI specification](https://static.docs.arm.com/ihi0050/d/IHI0050D_amba_5_chi_architecture_spec.pdf) and provides a scalable framework for the design space exploration of large SoC designs.
+
+- [CHI overview and terminology](#chi-overview)
+- [Protocol overview](#protocol-overview)
+- [Protocol implementation](#protocol-implementation)
+  - [Transaction allocation](#transaction-allocation)
+  - [Transaction initialization](#transaction-initialization)
+  - [Transaction execution](#transaction-execution)
+  - [Transaction finalization](#transaction-finalization)
+  - [Hazard handling](#hazard-handling)
+  - [Performance modeling](#performance-modeling)
+  - [Cache block allocation and replacement modeling](#cache-block-allocation-and-replacement-modeling)
+- [Supported CHI transactions](#supported-chi-transactions)
+  - [Supported requests](#supported-requests)
+  - [Supported snoops](#supported-snoops)
+  - [Writeback and evictions](#writeback-and-evictions)
+  - [Hazards](#hazards)
+  - [Other implementations notes](#other-implementations-notes)
+  - [Protocol table](#protocol-table)
+
+## CHI overview and terminology
+
+CHI (Coherent Hub Interface) provides a component architecture and transaction-level specification to model MESI and MOESI cache coherency. CHI defines three main components as shown in the figure below:
+
+[chi_components]: /assets/img/ruby_chi/chi_components.png
+![CHI components][chi_components]
+
+- the request node initiates transactions and sends requests towards memory. A request node can be a *fully coherent request node (**RNF**)*, meaning the request node caches data locally and should respond to snoop requests.
+- the interconnect (ICN) which is the responder for request nodes. At protocol level the interconnect is a component encapsulating the *fully coherent home nodes (**HNF**)* of the system.
+- the *slave nodes (**SNF**)*, which interface with the memory controllers.
+
+An HNF is the point of coherency (PoC) and point of serialization (PoS) for a specific address range. The HNF is responsible for issuing any required snoop requests to RNFs or memory access requests to SNFs in order to complete a transaction. The HNF can also encapsulate a shared last-level cache and include a directory for targeted snoops.
+
+The [CHI specification](https://static.docs.arm.com/ihi0050/d/IHI0050D_amba_5_chi_architecture_spec.pdf) also defines specific types of nodes for non-coherent requesters (RNI) and non-coherent address ranges (HNI and SNI), e.g., memory ranges belonging to IO components. In Ruby, IO accesses don't go though the cache coherency protocol so only CHI's fully coherent node types are implemented. In this documentation we interchangeably use the terms RN / RNF, HN / HNF, and SN/SNF. We also use the terms **upstream** and **downstream** to refer to components in the previous (i.e. towards the cpu) and next  (i.e. towards memory) levels in the memory hierarchy, respectively.
+
+## Protocol overview
+
+The CHI protocol implementation consists mainly of two controllers:
+
+- `Memory_Controller` (**src/mem/ruby/protocol/chi/CHI-mem.sm**) implements a CHI slave node. It receives memory read or write requests from the home nodes and interfaces with gem5’s classic memory controllers.
+- `Cache_Controller` (**src/mem/ruby/protocol/chi/CHI-cache.sm**) generic cache controller state machine.
+
+In order to allow fully flexible cache hierarchies, `Cache_Controller` can be configured to model any cache level (e.g. L1D, priv. L2, shared L3) within both request and home nodes. Furthermore it also supports multiple features not available in other Ruby protocols:
+
+- configurable cache block allocation and deallocation policies for each request type.
+- unified or separate transaction buffers for incoming and outgoing requests.
+- MESI or MOESI operation.
+- directory and cache tag and data array stalls.
+- parameters to inject latency in multiple steps of the request handling flow. This allows us to more closely calibrate the performance.
+
+The implementation defines the following cache states:
+
+- `I`: line is invalid
+- `SC`: line is shared and clean
+- `UC`: line is exclusive/unique and clean
+- `SD`: line is shared and dirty
+- `UD`: line exclusive/unique and dirty
+- `UD_T`: `UD` with timeout. When a store conditional fails and causes the line to transition from I to UD, we transition to `UD_T` instead if the number of failures is above a certain threshold (configuration defined). In `UD_T` the line cannot be evicted from the requester for a given number of cycles (also configuration defined); after which the lines goes to UD. This is necessary to avoid livelocks in certain scenarios.
+
+The figure below gives an overview of the state transitions when the controller is configured as a L1 cache:
+
+[sm_l1_cache]: /assets/img/ruby_chi/sm_l1_cache.svg
+![L1 cache state machine][sm_l1_cache]
+
+Transitions are annotated with the incoming request from the cpu (or generated internally, e.g. *Replacements*) and the resulting outgoing request sent downstream. For simplicity, the figure omits requests that do not change states (e.g., cache hits) and invalidating snoops (final state is always `I`). For simplicity, it also shows only the typical state transitions in a MOESI protocol. In CHI the final state will ultimately be determined by the type of data returned by the responder (e.g., requester may receive `UD` or `UC` data in  response to a `ReadShared`).
+
+The figures below show the transition for a *intermediate-level* cache controller (e.g., priv. L2, shared L3, HNF, etc):
+
+[sm_lx_cache]: /assets/img/ruby_chi/sm_lx_cache.svg
+![Intermediate cache state machine][sm_lx_cache]
+
+[sm_lx_dir]: /assets/img/ruby_chi/sm_lx_dir.svg
+![Intermediate cache directory states][sm_lx_dir]
+
+As in the previous case, cache hits are omitted for simplicity. In addition to the cache states, the following directory states are defined to track lines present in an upstream cache:
+
+- `RU`:an upstream requester has line in UC or UD
+- `RSC`: one or more upstream requesters have line in SC
+- `RSD`: one upstream requester has line in SD; others may have it in SC
+- `RUSC`: `RSC` + current domain stills has exclusive access
+- `RUSD`: `RSD` + current domain stills has exclusive access
+
+When the line is present both in the local cache and upstream caches the following combined states are possible:
+
+- `UD_RSC`, `SD_RSC`, `UC_RSC`, `SC_RSC`
+- `UD_RU`, `UC_RU`
+- `UD_RSD`, `SD_RSD`
+
+The `RUSC` and `RUSD` states (omitted in the figures above) are used to keep track of lines for which the controller still has exclusive access permissions without having it in it’s local cache. This is possible in a non-inclusive cache where a local block can be deallocated without back-invalidating upstream copies.
+
+When a cache controller is a HNF (home node), the state transactions are basically the same as a intermediate level cache, except for these differences:
+
+- A `ReadNoSnp` is sent to obtain data from downstream, as the only downstream components are the SNs (slave nodes).
+- On a cache and directory miss, DMT (direct memory transfer) is used if enabled.
+- On a cache miss and directory hit, DCT (direct cache transfer) is used if enabled.
+
+For more information on DCT and DMT transactions, see Sections 1.7 and 2.3.1 in the [CHI specification](https://static.docs.arm.com/ihi0050/d/IHI0050D_amba_5_chi_architecture_spec.pdf). DMT and DCT are CHI features that allow the data source for a request to send data directly to the original requester. On a DMT request, the SN sends data directly to the RN (instead of sending first to the HN, which would then forwards to the RN), while with DCT, the HN requests that a RN being snooped (the snoopee) to send a copy of the line directly the original requester. With DCT enabled, the HN may also request that the snoopee to send the data to both the HN and the original requester, so the HN can also cache the data. This depends on the allocation policy defined by the configuration parameters. Notice that the allocation policy also changes the cache state transitions. For simplicity, the figure above illustrates an inclusive cache.
+
+The following is a list of the main configuration parameters of the cache controller that affect the protocol behavior (please refer to the protocol SLICC specification for details and a full list of parameters)
+
+- `downstream_destinations`: defines the destinations for requests sent downstream and is used to build the cache hierarchy. Refer to the `create_system` function in `configs/ruby/CHI.py` for an example of how to setup a system with private L1I, L1D and L2 caches for each core.
+- `is_HN`: Set when the controller is used as a home node and point of coherency for an address range. Must be false for every other cache level.
+- `enable_DMT` and `enable_DCT`: when the controller is a home node, this enables direct memory transfers and direct cache transfers for incoming read requests.
+- `allow_SD`: allow the shared dirty state. This switches between MOESI and MESI operation.
+- `alloc_on_readshared`, `alloc_on_readunique`, and `alloc_on_readonce`: whether or not to allocate a cache block to store data used to respond to the corresponding read request.
+- `alloc_on_writeback`: whether or not to allocate a cache block to store data received from a writeback request.
+- `dealloc_on_unique` and `dealloc_on_shared`: deallocate the local cache block if the line becomes unique or shared in an upstream cache.
+- `dealloc_backinv_unique` and `dealloc_backinv_shared`: if a local cache block is deallocated due to a replacement, also invalidates any unique or shared copy of the line in upstream caches.
+- `number_of_TBEs`,`number_of_snoop_TBEs`, and `number_of_repl_TBEs`: number of entries in the TBE tables for incoming requests, incoming snoops, and replacements.
+- `unify_repl_TBEs`: replacements use the same TBE slot as the request that triggered it. In this case `number_of_repl_TBEs` is ignored.
+
+These parameters affect the cache controller performance:
+
+- `read_hit_latency` and `read_miss_latency`: pipeline latencies for a read request thar hits or misses in the local cache, respectively.
+- `snoop_latency`: pipeline latency for an incoming snoop.
+- `write_fe_latency` and `write_be_latency`: front-end and back-end pipeline latencies for handling write requests. Front-end latency is applied between sending the acknowledgement response and the next action to be taken. Back-end is applied to the requester between receiving the acknowledgement and sending the write data.
+- `allocation_latency`: latency between TBE allocation and transaction initialization.
+- `cache`: `CacheMemory` attached to this controller includes parameters such as size, associativity, tag and data latency, and number of banks.
+
+Section [Protocol implementation](#protocol-implementation) gives an overview of the protocol implementation while Section [Supported CHI transactions](#supported-chi-transactions) describe the implemented subset of the the AMBA 5 CHI spec. The next sections refer to specific files in the protocol source code and include SLICC snippets of the protocol. Some snippets where slightly simplified compared to the actual SLICC specification.
+
+## Protocol implementation
+
+The Figure below gives an overview of the cache controller implementation.
+
+[cache_cntrl_arch]: /assets/img/ruby_chi/cache_cntrl_arch.png
+![Cache controller architecture][cache_cntrl_arch]
+
+In Ruby, a cache controller is implemented by defining a state machine using SLICC language. Transitions in the state machine are triggered by messages arriving at input queues. On our particular implementation, separate incoming and outgoing messages queues are defined for each CHI channel. Incoming request and snoop messages that
+start a new transaction go through the same *Request allocation* process, where we allocate a transaction buffer entry (TBE) and move the request or snoop to an internal queue of transactions that are ready to
+be initiated. If the transaction buffer is full, the request is rejected and a retry message is sent.
+
+The actions to be performed for a message dequeued from the input / rdy queues depends on the state of the target cache line. The data state of the line is stored in the cache if the line is cached locally, while the
+directory state is stored in a directory entry if the line is present in any upstream cache. For lines with outstanding requests, the transient state is kept in the TBE and copied back to the cache and/or directory
+when the transaction finishes. The figure below describes the phases in the transaction lifetime and the interactions between the main components in the cache controller (input/output ports, TBETable, Cache, Directory and the SLICC state machine). The phases are described in more details in the subsequent sections.
+
+[transaction_phases]: /assets/img/ruby_chi/transaction_phases.png
+![Transaction lifetime][transaction_phases]
+
+### Transaction allocation
+
+The code snippet below shows how an incoming request in the `reqIn` port is handled. The `reqIn` port receives incoming messages from CHI's request channel:
+
+    in_port(reqInPort, CHIRequestMsg, reqIn) {
+      if (reqInPort.isReady(clockEdge())) {
+        peek(reqInPort, CHIRequestMsg) {
+          if (in_msg.allowRetry) {
+            trigger(Event:AllocRequest, in_msg.addr, 
+                  getCacheEntry(in_msg.addr), getCurrentActiveTBE(in_msg.addr));
+          } else {
+            trigger(Event:AllocRequestWithCredit, in_msg.addr,
+                  getCacheEntry(in_msg.addr), getCurrentActiveTBE(in_msg.addr));
+          }
+        }
+      }
+    }
+
+The `allowRetry` field indicates messages that can be retried. Requests that cannot be retried are only sent by a requester that previously received credit (see `RetryAck` and `PCrdGrant` in the CHI specification). The transition triggered by `Event:AllocRequest` or `Event:AllocRequestWithCredit` executes a single action which either reserves space in the TBE table for the request and moves it to the `reqRdy` queue, or sends a `RetryAck` message):
+
+    action(AllocateTBE_Request) {
+      if (storTBEs.areNSlotsAvailable(1)) {
+        // reserve a slot for this request
+        storTBEs.incrementReserved();
+        // Move request to rdy queue
+        peek(reqInPort, CHIRequestMsg) {
+          enqueue(reqRdyOutPort, CHIRequestMsg, allocation_latency) {
+            out_msg := in_msg;
+          }
+        }
+      } else {
+        // we don't have resources to track this request; enqueue a retry
+        peek(reqInPort, CHIRequestMsg) {
+          enqueue(retryTriggerOutPort, RetryTriggerMsg, 0) {
+            out_msg.addr := in_msg.addr;
+            out_msg.event := Event:SendRetryAck;
+            out_msg.retryDest := in_msg.requestor;
+            retryQueue.emplace(in_msg.addr,in_msg.requestor);
+          }
+        }
+      }
+      reqInPort.dequeue(clockEdge());
+    }
+
+Notice we don’t create and send a `RetryAck` message directly from this action. Instead we create a separate trigger event in the internal `retryTrigger` queue. This is necessary to prevent resource stalls from halting this action. Section [Performance modeling](#performance-modeling) below explains resource stalls in more details.
+
+Incoming request from a `Sequencer` object (typically connected to a CPU when the controller is used as a L1 cache) and snoop requests arrive through the `seqIn` and `snpIn` ports and are handled similarly, except for:
+
+- they do not support retries. If there are no TBEs available, a resource stall is generated and we try again next cycle.
+- snoops allocate TBEs from a separate TBETable to avoid deadlocks.
+
+### Transaction initialization
+
+Once a request has been allocated a TBE and moved to the `reqRdy` queue, an event is triggered to initiate the transaction. We trigger a different event for each different request type:
+
+    in_port(reqRdyPort, CHIRequestMsg, reqRdy) {
+      if (reqRdyPort.isReady(clockEdge())) {
+        peek(reqRdyPort, CHIRequestMsg) {
+          CacheEntry cache_entry := getCacheEntry(in_msg.addr);
+          TBE tbe := getCurrentActiveTBE(in_msg.addr);
+          trigger(reqToEvent(in_msg.type), in_msg.addr, cache_entry, tbe);
+        }
+      }
+    }
+
+Each request requires different initialization actions depending on the initial state of the line. To illustrate this processes, let’s use as example a `ReadShared` request for a line in the `SC_RSC` state (shared
+clean in local cache and shared clean in an upstream cache):
+
+    transition(SC_RSC, ReadShared, BUSY_BLKD) {
+      Initiate_Request;
+      Initiate_ReadShared_Hit;
+      Profile_Hit;
+      Pop_ReqRdyQueue;
+      ProcessNextState;
+    }
+
+- `Initiate_Request` initializes the allocated TBE. This actions copies any state and data allocated in the local cache and directory to the TBE.
+- `Initiate_ReadShared_Hit` sets-up the set of actions that need to be executed to complete this specific request (see below).
+- `Profile_Hit` updates cache statistics.
+- `Pop_ReqRdyQueue` removes request message form the `reqRdy` queue.
+- `ProcessNextState` executes the next action defined by `Initiate_ReadShared_Hit`.
+
+`Initiate_ReadShared_Hit` is defined as follows:
+
+    action(Initiate_ReadShared_Hit) {
+      tbe.actions.push(Event:TagArrayRead);
+      tbe.actions.push(Event:ReadHitPipe);
+      tbe.actions.push(Event:DataArrayRead);
+      tbe.actions.push(Event:SendCompData);
+      tbe.actions.push(Event:WaitCompAck);
+      tbe.actions.pushNB(Event:TagArrayWrite);
+    }
+
+`tbe.actions` stores the list of events that need to be triggered in order to complete an action. In this particular case, `TagArrayRead`, `ReadHitPipe`, and `DataArrayRead` introduces delays to model the cache
+controller pipeline latency and reading the cache/directory tag array and cache data array (see Section [Performance modeling](#performance-modeling)). `SendCompData` sets-up and sends the data responses for the `ReadShared` request and `WaitCompAck` sets-up the TBE to expect the completion acknowledgement from the requester. Finally, `TagArrayWrite` introduces the delay of updating the directory state to track the new sharer.
+
+### Transaction execution
+
+After initialization, the line will transition to the `BUSY_BLKD` state as show in `transition(SC_RSC, ReadShared, BUSY_BLKD)`. `BUSY_BLKD` is a transient state indicating the line has now an outstanding transaction. In this state, the transaction is driven either by incoming response messages in the `rspIn` and `datIn` ports or trigger events defined in `tbe.actions`.
+
+The `ProcessNextState` action is responsible for checking `tbe.actions` and enqueuing trigger event messages into `actionTriggers` at the end of all transitions to the `BUSY_BLKD` state. `ProcessNextState` first checks for pending response messages. If there are no pending messages, it enqueues a message to `actionTriggers` in order to trigger the the event at the head of `tbe.actions`. If there are pending responses, then `ProcessNextState` does nothing as the transaction will proceed once all expected responses are received.
+
+Pending responses are tracked by the `expected_req_resp` and `expected_snp_resp` fields in the TBE. For instance, the `ExpectCompAck` action, executed from the transition triggered by `WaitCompAck`, is defined as follows:
+
+    action(ExpectCompAck) {
+      tbe.expected_req_resp.addExpectedRespType(CHIResponseType:CompAck);
+      tbe.expected_req_resp.addExpectedCount(1);
+    }
+
+This causes the transaction to wait until a `CompAck` response is received.
+
+Some actions can be allowed to execute when the transaction has pending responses. This actions are enqueued using `tbe.actions.pushNB` (i.e., push / non-blocking). In the example above `tbe.actions.pushNB(Event:TagArrayWrite)` models a tag write being performed while the transactions waits for the `CompAck` response.
+
+### Transaction finalization
+
+The transaction ends when it has no more pending responses and `tbe.actions` is empty. `ProcessNextState` checks for this condition and enqueues a “finalizer” trigger message into `actionTriggers`. When handling this event, the current cache line state and sharing/ownership information determines the final stable state of the line. Data and state information are updated in the cache and directory, if necessary, and the TBE is deallocated.
+
+### Hazard handling
+
+Each controller allows only one active transaction per cache line. If a new request or snoop arrives while the cache line is in a transient state, this creates a hazard as defined in the CHI standard. We handle hazards as follows:
+
+**Request hazards:** a TBE is allocated as described previously, but the new transaction initialization is delayed until the current transaction finishes and the line is back to a stable state. This is done by moving
+the request message from `reqRdy` to a separate *stall buffer*. All stalled messages are added back to `reqRdy` when the current transaction finishes and are handled in their original order of arrival.
+
+**Snoop hazards:** the CHI spec does not allow snoops to be stalled by an existing request. If a transaction is waiting on a response for a request sent downstream (e.g. we sent a `ReadShared` and are waiting for
+the data response) we must accept and handle the snoop. The snoop can be stalled only if the request has already been accepted by the responder and is guaranteed to complete (e.g. a `ReadShared` with pending data but
+already acked with a `RespSepData` response). To distinguish between these conditions we use the `BUSY_INTR` transient state.
+
+`BUSY_INTR` indicates the transaction can be interrupted by a snoop. When a snoop arrives for a line in this state, a snoop TBE is allocated as described previously and its state is initialized based on the currently active TBE. The snoop TBE then becomes the currently active TBE. Any cache state and sharing/ownership changes caused by snoop are copied back to the original TBE before deallocating the snoop. When a snoop arrives for a line in `BUSY_BLKD` state, we stall the snoop until the current transaction either finishes or transitions to `BUSY_INTR`.
+
+### Performance modeling
+
+As described previously, the cache line state is known immediately when a transaction is initialized and the cache line can be read and written without any latency. This makes it easier to implement the functional
+aspects of the protocol. To model timing we use explicit actions to introduce latency to a transaction. For example, in the `ReadShared` code snippet:
+
+    action(Initiate_ReadShared_Hit) {
+      tbe.actions.push(Event:TagArrayRead);
+      tbe.actions.push(Event:ReadHitPipe);
+      tbe.actions.push(Event:DataArrayRead);
+      tbe.actions.push(Event:SendCompData);
+      tbe.actions.push(Event:WaitCompAck);
+      tbe.actions.pushNB(Event:TagArrayWrite);
+    }
+
+`TagArrayRead`, `ReadHitPipe`, `DataArrayRead`, and `TagArrayWrite` don’t have any functional significance. They are there to introduce latencies that would exist in a real cache controller pipeline, in this case: tag read latency, hit pipeline latency, data array read latency, and tag update latency. The latency introduced by these action is defined by configuration parameters.
+
+In addition to explicitly added latencies. SLICC has the concept of *resource stalls* to model resource contention. Given a set of actions executed during a transition, the SLICC compiler automatically generates
+code which checks if all resources needed by those actions are available. If any resource is unavailable, a resource stall is generated and the transition is not executed. A message that causes a resource stall remains in the input queue and the protocol attempts to trigger the transition again the next cycle.
+
+Resources are detected by the SLICC compiler in different ways:
+
+1. Implicitly. This is the case for output ports. If an action enqueues new messages, the availability of the output port is automatically checked.
+2. Adding the `check_allocate` statement to an action.
+3. Annotating the transition with a resource type.
+
+We use (2) to check availability of TBEs. See the snippet below:
+
+    action(AllocateTBE_Snoop) {
+      // No retry for snoop requests; just create resource stall
+      check_allocate(storSnpTBEs);
+      ...
+    }
+
+This signals the SLICC compiler to check if the `storSnpTBEs` structure has a TBE slot available before executing any transition that includes the `AllocateTBE_Snoop` action.
+
+The snippet below exemplifies (3):
+
+    transition({BUSY_INTR,BUSY_BLKD}, DataArrayWrite) {DataArrayWrite} {
+      ...
+    }
+
+The `DataArrayWrite` annotation signals the SLICC compiler to check for availability of the `DataArrayWrite` resource type. *Resource request types* used in these annotations must be explicitly defined by the protocol, as well as how to check them. In our protocol we defined the following types to check for the availability of banks in the cache tag and data arrays:
+
+    enumeration(RequestType) {
+      TagArrayRead;
+      TagArrayWrite;
+      DataArrayRead;
+      DataArrayWrite;
+    }
+
+    void recordRequestType(RequestType request_type, Addr addr) {
+      if (request_type == RequestType:DataArrayRead) {
+        cache.recordRequestType(CacheRequestType:DataArrayRead, addr);
+      }
+      ...
+    }
+
+    bool checkResourceAvailable(RequestType request_type, Addr addr) {
+      if (request_type == RequestType:DataArrayRead) {
+        return cache.checkResourceAvailable(CacheResourceType:DataArray, addr);
+      }
+      ...
+    }
+
+The implementation of `checkResourceAvailable` and `recordRequestType` are required by SLICC compiler when we use annotations on transactions.
+
+### Cache block allocation and replacement modeling
+
+Consider the following transaction initialization code for a ReadShared miss:
+
+    action(Initiate_ReadShared_Miss) {
+      tbe.actions.push(Event:ReadMissPipe);
+      tbe.actions.push(Event:TagArrayRead);
+      tbe.actions.push(Event:SendReadShared);
+      tbe.actions.push(Event:SendCompData);
+      tbe.actions.push(Event:WaitCompAck);
+      tbe.actions.push(Event:CheckCacheFill);
+      tbe.actions.push(Event:TagArrayWrite);
+    }
+
+All transactions that modify a cache line or received cache line data as a result of a snoop or a request sent downstream, use the `CheckCacheFill` action trigger event. This event triggers a transition that perform the following actions:
+
+- Checks if we need to store the current cache line data in the local cache.
+- Checks if we already have a cache block allocated for that line. If not, attempts to allocate a block. If block not available, a victim block is selected for replacement.
+- Models the latency of a cache fill.
+
+When a replacement is performed, a new transaction is initialized to keep track of any WriteBack or Evict request sent downstream and/or snoops for backinvalidation (if the cache controller is configured the
+enforce inclusivity). Depending on the configuration parameters, the TBE for the replacement uses resources from a dedicated TBETable or reuses the same resources of the TBE that triggered the replacement. In both
+cases, the transaction that triggered the replacement completes without waiting for the replacement process.
+
+Notice `CheckCacheFill` does not actually writes data to the cache block. If only ensures a cache block is allocated if needed, triggers replacements, and models the cache fill latencies. As described previously, TBE data is copied to the cache if needed during the transaction finalization.
+
+## Supported CHI transactions
+
+All transactions are implemented as described in the [AMBA5 CHI Issue D specification](https://static.docs.arm.com/ihi0050/d/IHI0050D_amba_5_chi_architecture_spec.pdf). The next sections provide a more detailed explanation of the implementation-specific choices not fixed by the public document.
+
+### Supported requests
+
+The following incoming requests are supported:
+
+- `ReadShared`
+- `ReadNotSharedDirty`
+- `ReadUnique`
+- `CleanUnique`
+- `ReadOnce`
+- `WriteUniquePtl` and `WriteUniqueFull`
+
+When receiving any request the clusivity configuration parameters are evaluated during the transaction initialization and the `doCacheFill` and `dataToBeInvalid` flags are set in the transaction buffer entry allocated for the request. `doCacheFill` indicates we should keep any valid copy of the line in the local cache;`dataToBeInvalid` indicates we must invalidate the local copy when completing the transaction.
+
+When receiving `ReadShared` or `ReadUnique`, if the data is present at the local cache in the required state (e.g. `UC` or `UD` for `ReadUnique`), a `CompData` response is send to the requester. The response type depends on the value of `dataToBeInvalid`.
+
+- If `dataToBeInvalid==true`
+  - The unique and/or dirty state is always propagated
+  - For a `ReadNotSharedDirty`, `CompData_SC` is always sent if local state is `SD` and the line is written-back using `WriteCleanFull`
+- Else:
+  - In response to a `ReadUnique`: propagate dirty state, i.e., `CompData_UD` or `CompData_UC`.
+  - In response to a `ReadShared` or `ReadNotSharedDirty`: send `CompData_SC`. If `fwd_unique_on_readshared` configuration parameter is set, the `ReadShared` is handled as a `ReadUnique` if the line doesn't have other sharers.
+
+When receiving a `ReadOnce`, `CompData_I` is always sent if the data is present at the local cache. For `WriteUniquePtl` handling see below.
+
+If there is a cache miss, multiple actions may be performed depending on whether or not `doCacheFill` and `dataToBeInvalid==false`; and DCT or DMT is enabled:
+
+- `ReadShared` / `ReadNotSharedDirty`:
+  - If dir state is `RSD` or `RU`:
+    - If DCT disabled: send `SnpShared` to owner; cache the line locally (if `doCacheFill`) and send response to requester.
+    - If DCT enabled: send `SnpSharedFwd` to owner; if `doCacheFill==true`, the `retToSrc` field is set so the line can be cached locally.
+  - If dir state is `RSC`:
+    - If DCT disabled: send `SnpOnce` to one of the sharers; cache the line locally (if `doCacheFill`) and send
+        response to requester.
+    - If DCT enabled: send `SnpSharedFwd` to one of the sharers; if `doCacheFill==true`, the `retToSrc` field is set so the line can be cached locally.
+  - Otherwise: issue a `ReadShared` / `ReadNotSharedDirty` or `ReadNoSnp` (if HNF). In the HNF configuration, `ReadNoSnp` is issued with DMT if DMT is enabled.
+  - For `ReadNotSharedDirty`, `SnpNotSharedDirty` and `SnpNotSharedDirtyFwd` is sent instead.
+- `ReadUnique`:
+  - If dir state is `RU,RUSD,RUSC`:
+    - If DCT disabled or clusivity is inclusive: send `SnpUnique` to owner; cache the line locally (if `doCacheFill `) and sent response to requester.
+    - If DCT enabled and clusivity is exclusive: send `SnpUniqueFwd` to owner.
+  - If dir state is `RSC`/`RSD`:
+    - Send `SnpUnique` with `retToSrc=true` to invalidate sharers and obtain dirty line (in case of `RSD`)
+    - If not HNF: send `CleanUnique` downstream to obtain unique permissions.
+  - Otherwise: issue a `ReadUnique` or `ReadNoSnp` (if HNF). In the HNF configuration, `ReadNoSnp` is issued with DMT if DMT is enabled.
+  - For `RUSC` amd `RSC`, if multiple sharers, only one sharer is selected as target of the above snoops. The other sharers are invalidated using `SnpUnique` with `retToSrc=false`.
+- `ReadOnce`:
+  - If dir entry exists:
+    - If DCT disabled: send `SnpOnce` to one of the sharers; send received data response to requester.
+    - If DCT enabled: send `SnpOnceFwd` to one of the sharers.
+  - Otherwise: issue a `ReadOnce` or `ReadNoSnp` (if HNF). In the HNF configuration, `ReadNoSnp` is issued with DMT if DMT is enabled.
+- `CleanUnique`:
+  - Send `SnpCleanInvalid` to all sharers/owner except original requestor.
+  - If not HNF: send `CleanUnique` downstream to obtain unique permissions.
+  - If has dirty line, requestor has clean line, and `doCacheFill==false`: writeback the line with `WriteCleanFull`.
+- `WriteUniquePtl`/`WriteUniqueFull`:
+  - If data present in local cache on UC or UD states:
+    - Issue `SnpCleanInvalid` if there are any sharers.
+    - Perform the write in the local cache.
+  - If no UC/UD data locally:
+    - If HNF:
+      - Issue `SnpCleanInvalid` if there are any sharers.
+      - Merge any received snoop response data with the WriteUnique data.
+      - If has a full line and `doCacheFill` set, cache the line locally, otherwise writeback to memory (`WriteNoSnp` or `WriteNoSnpPtl`).
+    - If no HNF:
+      - Forwards the `WriteUniquePtl` and any received data to the downstream cache.
+      - Incoming snoops will cause any locally cached data to become invalid while handling the request.
+
+### Supported snoops
+
+The cache controller issues and accepts the following snoops:
+
+- `SnpShared` and `SnpSharedFwd`
+- `SnpNotSharedDirty` and `SnpNotSharedDirtyFwd`
+- `SnpUnique` and `SnpUniqueFwd`
+- `SnpCleanInvalid`
+- `SnpOnce` and `SnpOnceFwd`
+
+The snoop response is generated according to the current state of the line as defined in the specification. Data is returned with the snoop response depending on the data state and the value of `retToSrc`  set by the snooper. If `retToSrc` is set, the snoop response always includes data.
+
+- `SnpShared` / `SnpNotSharedDirty`:
+  - Snoopee always returns data is the line is dirty, unique or `retToSrc`.
+  - `retToSrc` is set if the snooper needs to cache the line.
+  - Final snoopee state always shared clean.
+- `SnpUnique`:
+  - Snoopee always returns data is the line is dirty, unique or `retToSrc`.
+  - `retToSrc` is set if the snooper needs to cache the line.
+  - Final snoopee state always invalid.
+- `SnpCleanInvalid`:
+  - Same as *SnpUnique*, except data is not returned if line is unique and clean.
+- `SnpSharedFwd`:
+  - `retToSrc` is set if the snooper needs to cache the line.
+  - Line forwarded as dirty if dirty
+  - Final snoopee state always shared clean
+- `SnpNotSharedDirtyFwd`:
+  - `retToSrc` is set if the snooper needs to cache the line.
+  - Always returns data if line was dirty at the snoopee; line always forwarded as clean.
+  - Final snoopee state always shared clean.
+- `SnpUniqueFwd`:
+  - Same as SnpUnique, except data is never returned to the snooper (as defined by the spec)
+- `SnpOnce`:
+  - Always generated with `retToSrc=true` and snoopee always returns data.
+  - Accepted in any state (except invalid). Final snoopee state does not change.
+- `SnpOnceFwd`:
+  - Same as SnpOnce, except data is never returned to the snooper.
+
+If the snoopee has sharers in any state, the same request is sent upstream to all sharers. For SnpSharedFwd/SnpNotSharedDirtyFwd and SnpUniqueFwd, a SnpShared/SnpNotSharedFwd or SnpUnique is sent, respectively. For a received SnpOnce, a SnpOnce is sent upstream only if the line is not present locally. In this particular implementation, there is always a directory entry for upstream caches that have the line. *Snoops are never sent to caches that do not have the line*.
+
+### Writeback and evictions
+
+A writeback is triggered internally by the controller when a cache line needs to be evicted due to capacity reasons (*cache maintenance operations are currently not supported*). See Section [Cache block allocation and replacement modeling](#cache-block-allocation-and-replacement-modeling) for more information on replacements. These internal events are generated depending on the configurations parameters of the controller:
+
+- `GlobalEviction`: evict a line from the current and all upstream caches. This applies if `dealloc_backinv_unique` or `dealloc_backinv_shared` parameters are set.
+- `LocalEviction`: evict a line without backinvaliding upstream caches.
+
+First we deallocate the local cache block (so the request that cause the eviction can allocate a new block and finish). For GlobalEviction, a `SnpCleanInvalid` is sent to all upstream caches. Once all snoops responses are received (possibly with dirty data), a LocalEviction is performed. The LocalEviction is done by issuing the appropriate request as follows:
+
+- `WriteBackFull`, if the the line is dirty
+- `WriteEvictFull`, if the line is unique and clean
+- `WriteCleanFull`, if the the line is dirty, but there are clean sharers
+- `Evict`, if the line is shared and clean
+
+For a HNF configuration the behavior changes slightly: `WriteNoSnp` to the SNF is used instead of `WriteBackFull` and no requests are issued if the line is clean.
+
+The `WriteBack*` and `Evict` requests are handled at the downstream cache as follows:
+
+- `WriteBackFull` / `WriteEvictFull` / `WriteCleanFull`:
+  - If `alloc_on_writeback`, a cache block may need to be allocated. If there are no free blocks, a LocalEviction is triggered for a cache line in the target cache set. The victim line is selected based on the replacement policy implemented by object pointed by the `cache` parameter (which can be configured separately).
+  - Send a `CompDBIDResp` to the requester.
+  - Once data is received, update local cache and remove requestor from directory (if `WriteBackFull` / `WriteEvictFull`).
+- `Evict`:
+  - Remove requestor from directory and reply with `Comp\_I`.
+
+### Hazards
+
+A request for a line that currently has an outstanding transaction is always stalled until the transaction completes. Snoops received while there is an outstanding request are handled following the requirements
+in the specification:
+
+- For an outstanding `CleanUnique`:
+  - Snoop response is sent immediately and the current line state is changed accordingly.
+  - Notice we don't model the **UCE** and **UDP** states from the CHI spec. If the line is invalidated while the requester waits for a `CleanUnique` response, it immediately follows up with a `ReadUnique`.
+- For outstanding `WriteBackFull`/`WriteEvictFull`/`WriteCleanFull` that have not yet been acked with a `CompDBIDResp`; or Evict before `Comp_I` is received:
+  - Snoop response is sent immediately and the current line state is changed accordingly.
+  - The state of the line that will be written back will the state after the snoop.
+- If a snoop is received while the current transaction is waiting for snoop responses from upstream caches, the incoming snoop is stalled until all pending responses from upstream are received and any follow-up request is sent. This can happen in these scenarios:
+  - During a global replacement
+  - An accepted `ReadUnique` that required snooping upstream caches
+
+Multiple snoops may be received while there is an outstanding transaction. In this particular implementation, a `SnpShared` or `SnpSharedFwd` may be followed by a `SnpUnique` or `SnpCleanInvalid`. However, it's not possible to have concurrent snoops coming from the downstream cache.
+
+Both incoming requests and snoops require the allocation of a TBE. To prevent deadlocks when transaction buffers are full, a separate buffer is used to allocate snoop TBEs. Snoops do not allow retry, so if the snoop TBE table is full messages in the snpIn port are stalled, potentially causing severe congestion in the snoop channel in the interconnect.
+
+### Other implementations notes
+
+- If an HNF uses DMT, it will send `ReadNoSnpSep` instead of `ReadNoSnp` if the `enable_DMT_early_dealloc` configuration parameter is set. This allow the HNF to deallocate the TBE earlier.
+- Order bit field is not implemented, thus `ReadReceipt` responses are never used except for `ReadNoSnpSep`. Request ordering, when required, is enforced by Ruby by serializing requests at the requester. At the cache controller, requests to the same line are handled in the order of arrival. Requests to different lines can be handled in any order, however they are typically handled in order of arrival given that there are resources available.
+- Exclusive accesses and atomic requests are not implemented. Ruby has its own global monitor in the Sequencer to manage exclusive load and stores. Atomic operations also handled by Ruby and they only require a `ReadUnique` at the protocol level.
+- `CompAck` response is always sent when stated as optional in the spec. Requesters always wait for `CompAck` (if required or optional) before finalizing the transaction and deallocating resources.
+- Separate `Comp` and `DBIDresp` used only for `WriteUnique` requests. `DBIDresp` is sent after receiving all snoop responses; `Comp` is sent after `DBIDresp` and accounting for the front-end write latency (`write_fe_latency`).
+- Memory attribute fields are not implemented.
+- `DoNotGoToSD` field is not implemented.
+- `CBusy` is not implemented.
+- `WriteDataCancel` responses are never used.
+- Error handling is not implemented.
+- Cache stashing is not implemented.
+- Atomic transactions are not implemented.
+- DMV transactions are not implemented.
+- Any request not listed in the protocol table below is not supported in this implementation.
+
+### Protocol table
+
+[Click here](/assets/img/ruby_chi/protocol_table.htm)
diff --git a/_pages/documentation/general_docs/ruby/index.md b/_pages/documentation/general_docs/ruby/index.md
index d685bd5..2c28283 100644
--- a/_pages/documentation/general_docs/ruby/index.md
+++ b/_pages/documentation/general_docs/ruby/index.md
@@ -67,6 +67,8 @@
     run the Garnet network in a standalone manner.
 7.  **MESI Three Level**: 3-level caches,
     strictly-inclusive hierarchy. Based on MESI Two Level with an extra L0 cache.
+8.  **[CHI](CHI)**: flexible protocol that implements Arm's AMBA5 CHI transactions.
+    Supports configurable cache hierarchy with both MESI or MOESI coherency.
 
 Commonly used notations and data structures in the protocols have been
 described in detail [here](cache-coherence-protocols).
diff --git a/_pages/documentation/general_docs/statistics/stats-api.md b/_pages/documentation/general_docs/statistics/stats-api.md
index 12d469b..b9f86bc 100644
--- a/_pages/documentation/general_docs/statistics/stats-api.md
+++ b/_pages/documentation/general_docs/statistics/stats-api.md
@@ -54,8 +54,9 @@
     Stats::Scalar scalar0;

     Stats::Scalar scalar1;

 

-    Group()

-        : ADD_STAT(scalar0, "Description of scalar0"),       // equivalent to scalar0(this, "scalar0", "Description of scalar0"), where scalar0 has the follwing constructor

+    MyStats(Stats::Group *parent)

+        : Stats::Group(parent),

+          ADD_STAT(scalar0, "Description of scalar0"),       // equivalent to scalar0(this, "scalar0", "Description of scalar0"), where scalar0 has the follwing constructor

                                                              // Stats::Scalar(Group *parent = nullptr, const char *name = nullptr, const char *desc = nullptr)

           scalar1(this, "scalar1", "Description of scalar1")

      {

@@ -131,6 +132,7 @@
 ---

 

 ## Stats Flags

+

 | Flags            | Descriptions                                                   |

 |------------------|----------------------------------------------------------------|

 | `Stats::none`    | Nothing extra to print.                                        |

diff --git a/_pages/documentation/learning_gem5/part1/part1_1_building.md b/_pages/documentation/learning_gem5/part1/part1_1_building.md
index 7e3625e..e300512 100644
--- a/_pages/documentation/learning_gem5/part1/part1_1_building.md
+++ b/_pages/documentation/learning_gem5/part1/part1_1_building.md
@@ -60,12 +60,12 @@
         sudo apt install scons
         ```
 
-4.  Python 2.7+
+4.  Python 3.6+
     :   gem5 relies on the Python development libraries. To install
         these on Ubuntu use
 
         ```
-        sudo apt install python-dev
+        sudo apt install python3-dev
         ```
 
 5.  [protobuf](https://developers.google.com/protocol-buffers/) 2.1+
@@ -78,7 +78,7 @@
         generation and playback.
 
         ```
-        sudo apt install libprotobuf-dev python-protobuf protobuf-compiler libgoogle-perftools-dev
+        sudo apt install libprotobuf-dev protobuf-compiler libgoogle-perftools-dev
         ```
 
 6. [Boost](https://www.boost.org/) (**Optional**) : The Boost library is a set
@@ -129,7 +129,7 @@
 default.
 
 ```
-scons build/X86/gem5.opt -j9
+python3 scons build/X86/gem5.opt -j9
 ```
 
 > **gem5 binary types**
@@ -238,7 +238,7 @@
 
 ### Python in a non-default location
 
-If you use a non-default version of Python, (e.g., version 2.7 when 2.5
+If you use a non-default version of Python, (e.g., version 3.6 when 2.5
 is your default), there may be problems when using SCons to build gem5.
 RHEL6 version of SCons uses a hardcoded location for Python, which
 causes the issue. gem5 often builds successfully in this case, but may
@@ -251,7 +251,7 @@
     TypeError: 'dict' object is not callable
 
 To fix this, you can force SCons to use your environment's Python
-version by running `` python `which scons` build/X86/gem5.opt `` instead
+version by running `` python3 `which scons` build/X86/gem5.opt `` instead
 of `scons build/X86/gem5.opt`.
 
 ### M4 macro processor not installed
diff --git a/_pages/documentation/reporting_problems.md b/_pages/documentation/reporting_problems.md
index 0a37cea..f1c7903 100644
--- a/_pages/documentation/reporting_problems.md
+++ b/_pages/documentation/reporting_problems.md
@@ -72,7 +72,7 @@
 about your solution as a follow-up (either in the mailing list or in the Jira
 Issue tracking system). If you have fixed a bug, we'd appreciate if you could
 submit the fix to the gem5 source. Please see our
-[beginners guide to contributing](/documentation/contributing_beginners_guide)
+[beginners guide to contributing](/contributing)
 on how to do this.
 
 If your issue is with the content of a gem5 document/tutorial being incorrect,
diff --git a/_pages/governance.md b/_pages/governance.md
index a2ebbe6..6a36314 100644
--- a/_pages/governance.md
+++ b/_pages/governance.md
@@ -32,7 +32,7 @@
 
 # Roles And Responsibilities
 ---
-## Users<span class="anchor" data-clipboard-text="http://new.gem5.org/contributing/governance/#users"></span>
+## Users<span class="anchor" data-clipboard-text="http://www.gem5.org/contributing/governance/#users"></span>
 
 Users are community members who have a need for the project. They are the most important members of the community and without them the project would have no purpose. Anyone can be a user; there are no special requirements. There are currently three main categories of gem5 users: academic researchers, industry researchers, and students. Individuals may transition between categories, e.g., when a graduate student takes an industry internship, then returns to school; or when a student graduates and takes a job in industry. These three users are described below.
 
diff --git a/_pages/publications.md b/_pages/publications.md
index cf32fbd..8e17181 100644
--- a/_pages/publications.md
+++ b/_pages/publications.md
@@ -10,15 +10,21 @@
 If you use gem5 in your research, we would appreciate a citation to the original paper in any publications you produce. Moreover, we would appreciate if you cite also the speacial features of gem5 which have been developed and contributed to the main line since the publication of the original paper in 2011\. In other words, if you use feature X please also cite the according paper Y from the list below.
 
 
-# Original Paper<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#original-paper"></span>
+# Original Paper<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#original-paper"></span>
 ---
 *   [**The gem5 Simulator**](http://dx.doi.org/10.1145/2024716.2024718). Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. May 2011, ACM SIGARCH Computer Architecture News.
 
 
 
-# Special Features of gem5<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#special-features-of-gem5"></span>
+# Special Features of gem5<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#special-features-of-gem5"></span>
 ---
-## GPUs<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#gpus"></span>
+
+## gem5art and gem5resources<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#gem5art"></span>
+
+* [**Enabling Reproducible and Agile Full-System Simulation**](/assets/files/papers/enabling2021ispass.pdf). Bobby R. Bruce, Hoa Nguyen, Kyle Roarty, Mahyar Samani, Marjan Friborz, Trivikram Reddy, Matthew D. Sinclair, and Jason Lowe-Power. In Proceedings of the IEEE International Symposium on Performance Analysis of Software (ISPASS), March 2021.
+
+
+## GPUs<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#gpus"></span>
 
 *   [**Lost in Abstraction: Pitfalls of Analyzing GPUs at the Intermediate Language Level**](https://doi.org/10.1109/HPCA.2018.00058). Anthony Gutierrez, Bradford M. Beckmann, Alexandru Dutu, Joseph Gross, John Kalamatianos, Onur Kayiran, Michael LeBeane, Matthew Poremba, Brandon Potter, Sooraj Puthoor, Matthew D. Sinclair, Mark Wyse, Jieming Yin, Xianwei Zhang, Akshay Jain, Timothy G. Rogers. In Proceedings of the 24th IEEE International Symposium on High-Performance Computer Architecture (HPCA), February 2018.
 
@@ -26,21 +32,21 @@
 
 *   [**gem5-gpu: A Heterogeneous CPU-GPU Simulator**](http://research.cs.wisc.edu/multifacet/papers/cal14_gem5gpu.pdf). Jason Power, Joel Hestness, Marc S. Orr, Mark D. Hill, David A. Wood. Computer Architecture Letters vol. 13, no. 1, Jan 2014
 
-## DRAM Controller, DRAM Power Estimation<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#dram-controller-dram-power-estimation"></span>
+## DRAM Controller, DRAM Power Estimation<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#dram-controller-dram-power-estimation"></span>
 
 *   [**Simulating DRAM controllers for future system architecture exploration**](http://www.ics.ele.tue.nl/~mhansson/documents/pdf/2014-ispass.pdf). Andreas Hansson, Neha Agarwal, Aasheesh Kolli, Thomas Wenisch and Aniruddha N. Udipi. In Proceedings of the International Symposium on Performance Analysis of Systems and Software (ISPASS), March 2014.
 
 *   [**DRAMPower: Open-source DRAM Power & Energy Estimation Tool**](http://www.drampower.info). Karthik Chandrasekar, Christian Weis, Yonghui Li, Sven Goossens, Matthias Jung, Omar Naji, Benny Akesson, Norbert Wehn, and Kees Goossens, URL: [http://www.drampower.info](http://www.drampower.info).
 
-## KVM<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#kvm"></span>
+## KVM<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#kvm"></span>
 
 *   [**Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed**](http://ieeexplore.ieee.org/document/7314164/). Andreas Sandberg, Nikos Nikoleris, Trevor E. Carlson, Erik Hagersten, Stefanos Kaxiras, David Black-Schaffer. 2015 IEEE International Symposium on Workload Characterization
 
-## Elastic Traces<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#elastic-traces"></span>
+## Elastic Traces<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#elastic-traces"></span>
 
 *   [**Exploring system performance using elastic traces: Fast, accurate and portable**](https://doi.org/10.1109/SAMOS.2016.7818336). Radhika Jagtap, Matthias Jung, Stephan Diestelhorst, Andreas Hansson, Norbert Wehn. IEEE International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), 2016
 
-## SystemC Coupling<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#systemc-coupling"></span>
+## SystemC Coupling<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#systemc-coupling"></span>
 
 *   [**System Simulation with gem5 and SystemC: The Keystone for Full Interoperability**](https://ieeexplore.ieee.org/document/8344612). C. Menard, M. Jung, J. Castrillon, N. Wehn. IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS), July, 2017
 
@@ -90,7 +96,7 @@
   - [Tutorial at ISPASS '11](https://sites.google.com/site/mv5sim/tutorial)
   - [Google group](http://groups.google.com/group/mv5sim)
 
-# Other Publications related to gem5<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#other-publications-related-to-gem5"></span>
+# Other Publications related to gem5<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#other-publications-related-to-gem5"></span>
 ---
 *   [**Enabling Realistic Logical Device Interface and Driver for NVM Express Enabled Full System Simulations**](http://simplessd.camelab.org). Donghyun Gouk, Jie Zhang and Myoungsoo Jung. IFIP International Conference on Network and Parallel Computing (NPC) and Invited for International Journal of Parallel Programming (IJPP), 2017
 
@@ -110,9 +116,9 @@
 *   [**The M5 Simulator: Modeling Networked Systems**](http://dx.doi.org/10.1109/MM.2006.82). N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, S. K. Reinhardt. IEEE Micro, vol. 26, no. 4, pp. 52-60, July/August, 2006.
 *   [**Multifacet’s General Execution-driven Multiprocessor Simulator (GEMS) Toolset**](http://dx.doi.org/10.1145/1105734.1105747). Milo M.K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, and David A. Wood. Computer Architecture News (CAN), September 2005.
 
-# Publications using gem5 / m5<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#publications-using-gem5-m5"></span>
+# Publications using gem5 / m5<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#publications-using-gem5-m5"></span>
 ---
-## 2017<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2017"></span>
+## 2017<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2017"></span>
 
 *   [[https://chess.eecs.berkeley.edu/pubs/1194/KimEtAl_CyPhy17.pdf](https://chess.eecs.berkeley.edu/pubs/1194/KimEtAl_CyPhy17.pdf)**An Integrated Simulation Tool for Computer Architecture and Cyber-Physical Systems**]. Hokeun Kim, Armin Wasicek, and Edward A. Lee. In Proceedings of the 6th Workshop on Design, Modeling and Evaluation of Cyber-Physical Systems (CyPhy’17), Seoul, Korea, October 19, 2017.
 
@@ -120,7 +126,7 @@
 
 *   [[https://hal-lirmm.ccsd.cnrs.fr/lirmm-01467328](https://hal-lirmm.ccsd.cnrs.fr/lirmm-01467328)**MAGPIE: System-level Evaluation of Manycore Systems with Emerging Memory Technologies**]. Thibaud Delobelle, Pierre-Yves Péneau, Abdoulaye Gamatié, Florent Bruguier, Sophiane Senni, Gilles Sassatelli and Lionel Torres, 2nd International Workshop on Emerging Memory Solutions (EMS) co-located with DATE’17, March 2017.
 
-## 2016<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2016"></span>
+## 2016<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2016"></span>
 
 *   [[http://ieeexplore.ieee.org/document/7776838](http://ieeexplore.ieee.org/document/7776838)**An Agile Post-Silicon Validation Methodology for the Address Translation Mechanisms of Modern Microprocessors**]. G. Papadimitriou, A. Chatzidimitriou, D. Gizopoulos, R. Morad, IEEE Transactions on Device and Materials Reliability (TDMR 2016), Volume: PP, Issue: 99, December 2016.
 
@@ -140,7 +146,7 @@
 
 *   [**Agave: A benchmark suite for exploring the complexities of the Android software stack**](http://ieeexplore.ieee.org/document/7482089). Martin Brown, Zachary Yannes, Michael Lustig, Mazdak Sanati, Sally A. McKee, Gary S. Tyson, Steven K. Reinhardt, IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Uppsala, Sweden, April 2016.
 
-## 2015<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2015"></span>
+## 2015<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2015"></span>
 
 *   [[http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7314163](http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7314163)**Differential Fault Injection on Microarchitectural Simulators**]. M.Kaliorakis, S.Tselonis, A.Chatzidimitriou, N.Foutris, D.Gizopoulos, IEEE International Symposium on Workload Characterization (IISWC), Atlanta, GA, USA, October 2015.
 
@@ -162,7 +168,7 @@
 
 *   [**A trace-driven approach for fast and accurate simulation of manycore architectures**](http://ieeexplore.ieee.org/xpl/articleDetails.jsp?tp=&arnumber=7059093&queryText%3DA+trace-driven+approach+for+fast+and+accurate+simulation+of+manycore+architectures). Anastasiia Butko, Rafael Garibotti, Luciano Ost, Vianney Lapotre, Abdoulaye Gamatie, Gilles Sassatelli and Chris Adeniyi-Jones. Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific. January 19, 2015
 
-## 2014<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2014"></span>
+## 2014<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2014"></span>
 
 *   [**Evaluating Private vs. Shared Last-Level Caches for Energy Efficiency in Asymmetric Multi-Cores**](https://doi.org/10.1109/SAMOS.2014.6893211). A. Gutierrez, R.G. Dreslinski, and Trevor Mudge. In Proceedings of the 14th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2014.
 
@@ -176,7 +182,7 @@
 
 *   [”‘Micro-architectural simulation of in-order and out-of-order ARM microprocessors with gem5 “’](http://dx.doi.org/10.1109/SAMOS.2014.6893220). Fernando A. Endo, Damien Couroussé, Henri-Pierre Charles. 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV). July 2014.
 
-## 2013<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2013"></span>
+## 2013<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2013"></span>
 
 *   [**Continuous Real-World Inputs Can Open Up Alternative Accelerator Designs**](http://doi.acm.org/10.1145/2485922.2485923). Bilel Belhadj, Antoine Joubert, Zheng Li, Rodolphe Héliot, and Olivier Temam. ISCA ‘13
 *   _Cache Coherence for GPU Architectures._ Inderpreet Singh, Arrvindh Shriraman, Wilson WL Fung, Mike O’Connor, and Tor M. Aamodt. HPCA, 2013.
@@ -187,7 +193,7 @@
 *   [**Optimization and Mathematical Modeling in Computer Architecture**](http://dx.doi.org/10.2200/S00531ED1V01Y201308CAC026) Nowatzki, T., Ferris, M., Sankaralingam, K., Estan, C., Vaish, N., & Wood, David A. (2013). Synthesis Lectures on Computer Architecture, 8(4), 1-144.
 *   [**Limits of Parallelism and Boosting in Dim Silicon**](http://doi.ieeecomputersociety.org/10.1109/MM.2013.73). Nathaniel Pinckney, Ronald G. Dreslinski, Korey Sewell, David Fick, Trevor Mudge, Dennis Sylvester, David Blaauw, IEEE Micro, vol. 33, no. 5, pp. 30-37, Sept.-Oct., 2013
 
-## 2012<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2012"></span>
+## 2012<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2012"></span>
 
 *   _Hardware Prefetchers for Emerging Parallel Applications_, Biswabandan Panda, Shankar Balachandran. In the proceedings of the IEEE/ACM Parallel Architectures and Compilation Techniques,PACT, Minneapolis, October 2012.
 *   [**Lazy Cache Invalidation for Self-Modifying Codes**](https://doi.org/10.1145/2380403.2380433). A. Gutierrez, J. Pusdesris, R.G. Dreslinski, and T. Mudge. In the proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), Tampere, Finland, October 2012.
@@ -196,12 +202,12 @@
 *   [**Reducing memory reference energy with opportunistic virtual caching**](http://dx.doi.org/10.1109/ISCA.2012.6237026). Arkaprava Basu, Mark D. Hill, Michael M. Swift. In the proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012).
 *   [**Cache Revive: Architecting Volatile STT-RAM Caches for Enhanced Performance in CMPs**](http://www.cse.psu.edu/~axj936/docs/Revive-DAC-2012.pdf). Adwait Jog, Asit Mishra, Cong Xu, Yuan Xie, V. Narayanan, Ravi Iyer, Chita Das. In the proceedings oF the IEEE/ACM Design Automation Conference (DAC), San Francisco, CA, June 2012.
 
-## 2011<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2011"></span>
+## 2011<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2011"></span>
 
 *   [**Full-System Analysis and Characterization of Interactive Smartphone Applications**](https://doi.org/10.1109/IISWC.2011.6114205). A. Gutierrez, R.G. Dreslinski, T.F. Wenisch, T. Mudge, A. Saidi, C. Emmons, and N. Paver. In the proceeding of the IEEE International Symposium on Workload Characterization (IISWC), pages 81-90, Austin, TX, November 2011.
 *   _Universal Rules Guided Design Parameter Selection for Soft Error Resilient Processors,_ L. Duan, Y. Zhang, B. Li, and L. Peng. Proceedings of the International Symposium on Performance Analysis of Systems and Software(ISPASS), Austin, TX, April 2011.
 
-## 2010<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2010"></span>
+## 2010<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2010"></span>
 
 *   _Using Hardware Vulnerability Factors to Enhance AVF Analysis,_ V. Sridharan, D. R. Kaeli. Proceedings of the International Symposium on Computer Architecture (ISCA-37), Saint-Malo, France, June 2010.
 *   _Leveraging Unused Cache Block Words to Reduce Power in CMP Interconnect,_ H. Kim, P. Gratz. IEEE Computer Architecture Letters, vol. 99, (RapidPosts), 2010.
@@ -209,7 +215,7 @@
 *   _Simulation of Standard Benchmarks in Hardware Implementations of L2 Cache Models in Verilog HDL,_ Rosario M. Reas, Anastacia B. Alvarez, Joy Alinda P. Reyes, Computer Modeling and Simulation, International Conference on, pp. 153-158, 2010 12th International Conference on Computer Modelling and Simulation, 2010
 *   _A Simulation of Cache Sub-banking and Block Buffering as Power Reduction Techniques for Multiprocessor Cache Design,_ Jestoni V. Zarsuela, Anastacia Alvarez, Joy Alinda Reyes, Computer Modeling and Simulation, International Conference on, pp. 515-520, 2010 12th International Conference on Computer Modelling and Simulation, 2010
 
-## 2009<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2009"></span>
+## 2009<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2009"></span>
 
 *   _Efficient Implementation of Decoupling Capacitors in 3D Processor-DRAM Integrated Computing Systems._ Q. Wu, J. Lu, K. Rose, and T. Zhang. Great Lakes Symposium on VLSI. 2009.
 *   _Evaluating the Impact of Job Scheduling and Power Management on Processor Lifetime for Chip Multiprocessors._ A. K. Coskun, R. Strong, D. M. Tullsen, and T. S. Rosing. Proceedings of the eleventh international joint conference on Measurement and modeling of computer systems. 2009.
@@ -241,7 +247,7 @@
 *   _Express Cube Topologies for On-Chip Interconnects._ B. Grot, J. Hestness, S. W. Keckler, O. Mutlu. Proceedings of the 15th International Symposium on High-Performance Computer Architecture (HPCA-15), February 2009.
 *   _Enhancing LTP-Driven Cache Management Using Reuse Distance Information._ W. Lieu, D. Yeung. Journal of Instruction-Level Parallelism 11 (2009).
 
-## 2008<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2008"></span>
+## 2008<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2008"></span>
 
 *   _Analyzing the Impact of Data Prefetching on Chip MultiProcessors._ N. Fukumoto, T. Mihara, K. Inoue, and K. Murakami. Asia-Pacific Computer Systems Architecture Conference. 2008.
 *   _Historical Study of the Development of Branch Predictors._ Y. Peress. Masters Thesis at Florida State University. 2008.
@@ -297,7 +303,7 @@
 
 *   _An approach for adaptive DRAM temperature and power management_. Song Liu, S. O. Memik, Y. Zhang, and G. Memik. Proceedings of the 22nd annual international conference on Supercomputing. 2008.
 
-## 2007<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2007"></span>
+## 2007<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2007"></span>
 
 *   _Modeling and Characterizing Power Variability in Multicore Architectures._ K. Meng, F. Huebbers, R, Joseph, and Y. Ismail. ISPASS-2007.
 *   _A High Performance Adaptive Miss Handling Architecture for Chip Multiprocessors._ M. Jahre, and L. Natvig. HiPEAC Journal 2007.
@@ -350,7 +356,7 @@
 
 *   _Extending Multicore Architectures to Exploit Hybrid Parallelism in Single-thread Applications_. H. Zhong, S. A. Lieberman, S. A. Mahlke. Proc. 13th Intl. Symposium on High Performance Computer Architecture (HPCA). February 2007.
 
-## 2006<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2006"></span>
+## 2006<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2006"></span>
 
 *   _Evaluation of the Data Vortex Photonic All-Optical Path Interconnection Network for Next-Generation Supercomputers_. W. C. Hawkins. Dissertation at Georgia Tech. December 2006.
 
@@ -386,7 +392,7 @@
 
 *   _A Simple Integrated Network Interface for High-Bandwidth Servers_. N. L. Binkert, A. G. Saidi, S. K. Reinhardt. University of Michigan Technical Report CSE-TR-514-06, January 2006. [pdf](http://www.eecs.umich.edu/techreports/cse/2006/CSE-TR-514-06.pdf)
 
-## 2005<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2005"></span>
+## 2005<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2005"></span>
 
 *   _Software Defined Radio - A High Performance Embedded Challenge_. H. lee, Y. Lin, Y. Harel, M. Woh, S. Mahlke, T. Mudge, K. Flautner. Proc. 2005 Int’l Conf. on High Performance Embedded Architectures and Compilers (HiPEAC). November 2005. [pdf](http://www.eecs.umich.edu/~sdrg/lee-hipeac05.pdf)
 
@@ -407,7 +413,7 @@
 *   _Analyzing NIC Overheads in Network-Intensive Workloads_. N. L. Binkert, L. R. Hsu, A. G. Saidi, R. G. Dreslinski, A. L. Schultz, and S. K. Reinhardt. Eighth Workshop on Computer Architecture Evaluation using Commercial Workloads (CAECW), February 2005. [pdf](http://tesla.hpl.hp.com/caecw05/binkert-caecw8.pdf)
     *   An extended version appears as University of Michigan Technical Report CSE-TR-505-04, December 2004. [pdf](http://www.eecs.umich.edu/techreports/cse/2004/CSE-TR-505-04.pdf)
 
-## 2004<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2004"></span>
+## 2004<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2004"></span>
 
 *   _Emulation of realisitic network traffic patterns on an eight-node data vortex interconnection network subsytem_. B. Small, A. Shacham, K. Bergman, K. Athikulwongse, C. Hawkins, and D.S. Will. Journal of Optical Networking Vol. 3, No.11, pp 802-809, November 2004. [pdf](http://lightwave.ee.columbia.edu/files/Small2004.pdf)
 
@@ -422,7 +428,7 @@
 *   _A Compressed Memory Hierarchy using an Indirect Index Cache_. E. G. Hallnor and S. K. Reinhardt. Proc. 3rd Workshop on Memory Performance Issues (WMPI), June 2004. [pdf](http://www.eecs.umich.edu/~stever/pubs/wmpi04.pdf)
     *   An extended version appears as University of Michigan Technical Report CSE-TR-488-04, March 2004. [pdf](http://www.eecs.umich.edu/techreports/cse/2004/CSE-TR-488-04.pdf)
 
-## 2003<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2003"></span>
+## 2003<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2003"></span>
 
 *   _The Impact of Resource Partitioning on SMT Processors_. S. E. Raasch and S. K. Reinhardt. Proc. 12th Int’l Conf. on Parallel Architectures and Compilation Techniques (PACT), pp. 15-25, Sept.
     1.  [pdf](http://www.eecs.umich.edu/~stever/pubs/pact03.pdf)
@@ -432,6 +438,6 @@
 
 *   _Design, Implementation and Use of the MIRV Experimental Compiler for Computer Architecture Research_. D. A. Greene. Dissertation at the Universtiy of Michigan, 2003. [[http://www.eecs.umich.edu/~tnm/theses/daveg.pdg](http://www.eecs.umich.edu/~tnm/theses/daveg.pdg)“>pdf ]
 
-## 2002<span class="anchor" data-clipboard-text="http://new.gem5.org/publications/#2002"></span>
+## 2002<span class="anchor" data-clipboard-text="http://www.gem5.org/publications/#2002"></span>
 
 *   _A Scalable Instruction Queue Design Using Dependence Chains_. S. E. Raasch, N. L. Binkert, and S. K. Reinhardt. Proc. 29th Annual Int’l Symp. on Computer Architecture (ISCA), pp. 318-329, May 2002. [pdf](http://www.eecs.umich.edu/~stever/pubs/isca02_segiq.pdf) [ps](http://www.eecs.umich.edu/~stever/pubs/isca02_segiq.ps) [ps.gz](http://www.eecs.umich1111/~stever/pubs/isca02_segiq.ps.gz)
diff --git a/assets/files/papers/enabling2021ispass.pdf b/assets/files/papers/enabling2021ispass.pdf
new file mode 100644
index 0000000..12f1556
--- /dev/null
+++ b/assets/files/papers/enabling2021ispass.pdf
Binary files differ
diff --git a/assets/img/ruby_chi/cache_cntrl_arch.png b/assets/img/ruby_chi/cache_cntrl_arch.png
new file mode 100644
index 0000000..c2a7e7c
--- /dev/null
+++ b/assets/img/ruby_chi/cache_cntrl_arch.png
Binary files differ
diff --git a/assets/img/ruby_chi/chi_components.png b/assets/img/ruby_chi/chi_components.png
new file mode 100644
index 0000000..0e93035
--- /dev/null
+++ b/assets/img/ruby_chi/chi_components.png
Binary files differ
diff --git a/assets/img/ruby_chi/protocol_table.htm b/assets/img/ruby_chi/protocol_table.htm
new file mode 100644
index 0000000..679923e
--- /dev/null
+++ b/assets/img/ruby_chi/protocol_table.htm
@@ -0,0 +1,5012 @@
+<html xmlns:v="urn:schemas-microsoft-com:vml"

+xmlns:o="urn:schemas-microsoft-com:office:office"

+xmlns:w="urn:schemas-microsoft-com:office:word"

+xmlns:m="http://schemas.microsoft.com/office/2004/12/omml"

+xmlns="http://www.w3.org/TR/REC-html40">

+

+<head>

+<meta http-equiv=Content-Type content="text/html; charset=windows-1252">

+<meta name=ProgId content=Word.Document>

+<meta name=Generator content="Microsoft Word 15">

+<meta name=Originator content="Microsoft Word 15">

+<link rel=File-List href="table_files/filelist.xml">

+<!--[if gte mso 9]><xml>

+ <o:DocumentProperties>

+  <o:Author>Tiago Muck</o:Author>

+  <o:Template>Normal</o:Template>

+  <o:LastAuthor>Tiago Muck</o:LastAuthor>

+  <o:Revision>3</o:Revision>

+  <o:TotalTime>4</o:TotalTime>

+  <o:Created>2021-02-19T18:17:00Z</o:Created>

+  <o:LastSaved>2021-02-19T20:00:00Z</o:LastSaved>

+  <o:Pages>1</o:Pages>

+  <o:Words>546</o:Words>

+  <o:Characters>3115</o:Characters>

+  <o:Lines>25</o:Lines>

+  <o:Paragraphs>7</o:Paragraphs>

+  <o:CharactersWithSpaces>3654</o:CharactersWithSpaces>

+  <o:Version>16.00</o:Version>

+ </o:DocumentProperties>

+ <o:OfficeDocumentSettings>

+  <o:AllowPNG/>

+ </o:OfficeDocumentSettings>

+</xml><![endif]-->

+<link rel=themeData href="table_files/themedata.thmx">

+<link rel=colorSchemeMapping href="table_files/colorschememapping.xml">

+<!--[if gte mso 9]><xml>

+ <w:WordDocument>

+  <w:SpellingState>Clean</w:SpellingState>

+  <w:GrammarState>Clean</w:GrammarState>

+  <w:TrackMoves>false</w:TrackMoves>

+  <w:TrackFormatting/>

+  <w:PunctuationKerning/>

+  <w:ValidateAgainstSchemas/>

+  <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid>

+  <w:IgnoreMixedContent>false</w:IgnoreMixedContent>

+  <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText>

+  <w:DoNotPromoteQF/>

+  <w:LidThemeOther>EN-US</w:LidThemeOther>

+  <w:LidThemeAsian>ZH-CN</w:LidThemeAsian>

+  <w:LidThemeComplexScript>X-NONE</w:LidThemeComplexScript>

+  <w:Compatibility>

+   <w:BreakWrappedTables/>

+   <w:SnapToGridInCell/>

+   <w:WrapTextWithPunct/>

+   <w:UseAsianBreakRules/>

+   <w:DontGrowAutofit/>

+   <w:SplitPgBreakAndParaMark/>

+   <w:EnableOpenTypeKerning/>

+   <w:DontFlipMirrorIndents/>

+   <w:OverrideTableStyleHps/>

+   <w:UseFELayout/>

+  </w:Compatibility>

+  <w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel>

+  <m:mathPr>

+   <m:mathFont m:val="Cambria Math"/>

+   <m:brkBin m:val="before"/>

+   <m:brkBinSub m:val="&#45;-"/>

+   <m:smallFrac m:val="off"/>

+   <m:dispDef/>

+   <m:lMargin m:val="0"/>

+   <m:rMargin m:val="0"/>

+   <m:defJc m:val="centerGroup"/>

+   <m:wrapIndent m:val="1440"/>

+   <m:intLim m:val="subSup"/>

+   <m:naryLim m:val="undOvr"/>

+  </m:mathPr></w:WordDocument>

+</xml><![endif]--><!--[if gte mso 9]><xml>

+ <w:LatentStyles DefLockedState="false" DefUnhideWhenUsed="false"

+  DefSemiHidden="false" DefQFormat="false" DefPriority="99"

+  LatentStyleCount="376">

+  <w:LsdException Locked="false" Priority="0" QFormat="true" Name="Normal"/>

+  <w:LsdException Locked="false" Priority="9" QFormat="true" Name="heading 1"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 2"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 3"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 4"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 5"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 6"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 7"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 8"/>

+  <w:LsdException Locked="false" Priority="9" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="heading 9"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 6"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 7"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 8"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index 9"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 1"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 2"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 3"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 4"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 5"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 6"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 7"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 8"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" Name="toc 9"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Normal Indent"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="footnote text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="annotation text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="header"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="footer"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="index heading"/>

+  <w:LsdException Locked="false" Priority="35" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="caption"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="table of figures"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="envelope address"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="envelope return"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="footnote reference"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="annotation reference"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="line number"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="page number"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="endnote reference"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="endnote text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="table of authorities"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="macro"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="toa heading"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Bullet"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Number"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Bullet 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Bullet 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Bullet 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Bullet 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Number 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Number 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Number 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Number 5"/>

+  <w:LsdException Locked="false" Priority="10" QFormat="true" Name="Title"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Closing"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Signature"/>

+  <w:LsdException Locked="false" Priority="1" SemiHidden="true"

+   UnhideWhenUsed="true" Name="Default Paragraph Font"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text Indent"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Continue"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Continue 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Continue 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Continue 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="List Continue 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Message Header"/>

+  <w:LsdException Locked="false" Priority="11" QFormat="true" Name="Subtitle"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Salutation"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Date"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text First Indent"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text First Indent 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Note Heading"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text Indent 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Body Text Indent 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Block Text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Hyperlink"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="FollowedHyperlink"/>

+  <w:LsdException Locked="false" Priority="22" QFormat="true" Name="Strong"/>

+  <w:LsdException Locked="false" Priority="20" QFormat="true" Name="Emphasis"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Document Map"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Plain Text"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="E-mail Signature"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Top of Form"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Bottom of Form"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Normal (Web)"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Acronym"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Address"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Cite"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Code"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Definition"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Keyboard"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Preformatted"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Sample"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Typewriter"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="HTML Variable"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Normal Table"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="annotation subject"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="No List"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Outline List 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Outline List 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Outline List 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Simple 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Simple 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Simple 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Classic 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Classic 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Classic 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Classic 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Colorful 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Colorful 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Colorful 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Columns 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Columns 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Columns 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Columns 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Columns 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 6"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 7"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Grid 8"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 4"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 5"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 6"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 7"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table List 8"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table 3D effects 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table 3D effects 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table 3D effects 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Contemporary"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Elegant"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Professional"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Subtle 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Subtle 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Web 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Web 2"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Web 3"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Balloon Text"/>

+  <w:LsdException Locked="false" Priority="39" Name="Table Grid"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Table Theme"/>

+  <w:LsdException Locked="false" SemiHidden="true" Name="Placeholder Text"/>

+  <w:LsdException Locked="false" Priority="1" QFormat="true" Name="No Spacing"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 1"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 1"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 1"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 1"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 1"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 1"/>

+  <w:LsdException Locked="false" SemiHidden="true" Name="Revision"/>

+  <w:LsdException Locked="false" Priority="34" QFormat="true"

+   Name="List Paragraph"/>

+  <w:LsdException Locked="false" Priority="29" QFormat="true" Name="Quote"/>

+  <w:LsdException Locked="false" Priority="30" QFormat="true"

+   Name="Intense Quote"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 1"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 1"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 1"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 1"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 1"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 1"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 1"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 1"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 2"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 2"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 2"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 2"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 2"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 2"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 2"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 2"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 2"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 2"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 2"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 2"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 2"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 2"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 3"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 3"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 3"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 3"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 3"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 3"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 3"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 3"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 3"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 3"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 3"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 3"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 3"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 3"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 4"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 4"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 4"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 4"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 4"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 4"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 4"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 4"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 4"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 4"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 4"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 4"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 4"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 4"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 5"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 5"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 5"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 5"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 5"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 5"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 5"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 5"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 5"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 5"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 5"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 5"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 5"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 5"/>

+  <w:LsdException Locked="false" Priority="60" Name="Light Shading Accent 6"/>

+  <w:LsdException Locked="false" Priority="61" Name="Light List Accent 6"/>

+  <w:LsdException Locked="false" Priority="62" Name="Light Grid Accent 6"/>

+  <w:LsdException Locked="false" Priority="63" Name="Medium Shading 1 Accent 6"/>

+  <w:LsdException Locked="false" Priority="64" Name="Medium Shading 2 Accent 6"/>

+  <w:LsdException Locked="false" Priority="65" Name="Medium List 1 Accent 6"/>

+  <w:LsdException Locked="false" Priority="66" Name="Medium List 2 Accent 6"/>

+  <w:LsdException Locked="false" Priority="67" Name="Medium Grid 1 Accent 6"/>

+  <w:LsdException Locked="false" Priority="68" Name="Medium Grid 2 Accent 6"/>

+  <w:LsdException Locked="false" Priority="69" Name="Medium Grid 3 Accent 6"/>

+  <w:LsdException Locked="false" Priority="70" Name="Dark List Accent 6"/>

+  <w:LsdException Locked="false" Priority="71" Name="Colorful Shading Accent 6"/>

+  <w:LsdException Locked="false" Priority="72" Name="Colorful List Accent 6"/>

+  <w:LsdException Locked="false" Priority="73" Name="Colorful Grid Accent 6"/>

+  <w:LsdException Locked="false" Priority="19" QFormat="true"

+   Name="Subtle Emphasis"/>

+  <w:LsdException Locked="false" Priority="21" QFormat="true"

+   Name="Intense Emphasis"/>

+  <w:LsdException Locked="false" Priority="31" QFormat="true"

+   Name="Subtle Reference"/>

+  <w:LsdException Locked="false" Priority="32" QFormat="true"

+   Name="Intense Reference"/>

+  <w:LsdException Locked="false" Priority="33" QFormat="true" Name="Book Title"/>

+  <w:LsdException Locked="false" Priority="37" SemiHidden="true"

+   UnhideWhenUsed="true" Name="Bibliography"/>

+  <w:LsdException Locked="false" Priority="39" SemiHidden="true"

+   UnhideWhenUsed="true" QFormat="true" Name="TOC Heading"/>

+  <w:LsdException Locked="false" Priority="41" Name="Plain Table 1"/>

+  <w:LsdException Locked="false" Priority="42" Name="Plain Table 2"/>

+  <w:LsdException Locked="false" Priority="43" Name="Plain Table 3"/>

+  <w:LsdException Locked="false" Priority="44" Name="Plain Table 4"/>

+  <w:LsdException Locked="false" Priority="45" Name="Plain Table 5"/>

+  <w:LsdException Locked="false" Priority="40" Name="Grid Table Light"/>

+  <w:LsdException Locked="false" Priority="46" Name="Grid Table 1 Light"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark"/>

+  <w:LsdException Locked="false" Priority="51" Name="Grid Table 6 Colorful"/>

+  <w:LsdException Locked="false" Priority="52" Name="Grid Table 7 Colorful"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 1"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 1"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 1"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 1"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 1"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 1"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 1"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 2"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 2"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 2"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 2"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 2"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 2"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 2"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 3"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 3"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 3"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 3"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 3"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 3"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 3"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 4"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 4"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 4"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 4"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 4"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 4"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 4"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 5"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 5"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 5"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 5"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 5"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 5"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 5"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="Grid Table 1 Light Accent 6"/>

+  <w:LsdException Locked="false" Priority="47" Name="Grid Table 2 Accent 6"/>

+  <w:LsdException Locked="false" Priority="48" Name="Grid Table 3 Accent 6"/>

+  <w:LsdException Locked="false" Priority="49" Name="Grid Table 4 Accent 6"/>

+  <w:LsdException Locked="false" Priority="50" Name="Grid Table 5 Dark Accent 6"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="Grid Table 6 Colorful Accent 6"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="Grid Table 7 Colorful Accent 6"/>

+  <w:LsdException Locked="false" Priority="46" Name="List Table 1 Light"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark"/>

+  <w:LsdException Locked="false" Priority="51" Name="List Table 6 Colorful"/>

+  <w:LsdException Locked="false" Priority="52" Name="List Table 7 Colorful"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 1"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 1"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 1"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 1"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 1"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 1"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 1"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 2"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 2"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 2"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 2"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 2"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 2"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 2"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 3"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 3"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 3"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 3"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 3"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 3"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 3"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 4"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 4"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 4"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 4"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 4"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 4"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 4"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 5"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 5"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 5"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 5"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 5"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 5"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 5"/>

+  <w:LsdException Locked="false" Priority="46"

+   Name="List Table 1 Light Accent 6"/>

+  <w:LsdException Locked="false" Priority="47" Name="List Table 2 Accent 6"/>

+  <w:LsdException Locked="false" Priority="48" Name="List Table 3 Accent 6"/>

+  <w:LsdException Locked="false" Priority="49" Name="List Table 4 Accent 6"/>

+  <w:LsdException Locked="false" Priority="50" Name="List Table 5 Dark Accent 6"/>

+  <w:LsdException Locked="false" Priority="51"

+   Name="List Table 6 Colorful Accent 6"/>

+  <w:LsdException Locked="false" Priority="52"

+   Name="List Table 7 Colorful Accent 6"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Mention"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Smart Hyperlink"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Hashtag"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Unresolved Mention"/>

+  <w:LsdException Locked="false" SemiHidden="true" UnhideWhenUsed="true"

+   Name="Smart Link"/>

+ </w:LatentStyles>

+</xml><![endif]-->

+<style>

+<!--

+ /* Font Definitions */

+ @font-face

+	{font-family:Wingdings;

+	panose-1:5 0 0 0 0 0 0 0 0 0;

+	mso-font-charset:2;

+	mso-generic-font-family:auto;

+	mso-font-pitch:variable;

+	mso-font-signature:0 268435456 0 0 -2147483648 0;}

+@font-face

+	{font-family:"Cambria Math";

+	panose-1:2 4 5 3 5 4 6 3 2 4;

+	mso-font-charset:0;

+	mso-generic-font-family:roman;

+	mso-font-pitch:variable;

+	mso-font-signature:3 0 0 0 1 0;}

+@font-face

+	{font-family:DengXian;

+	panose-1:2 1 6 0 3 1 1 1 1 1;

+	mso-font-alt:\7B49\7EBF;

+	mso-font-charset:134;

+	mso-generic-font-family:auto;

+	mso-font-pitch:variable;

+	mso-font-signature:-1610612033 953122042 22 0 262159 0;}

+@font-face

+	{font-family:Calibri;

+	panose-1:2 15 5 2 2 2 4 3 2 4;

+	mso-font-charset:0;

+	mso-generic-font-family:swiss;

+	mso-font-pitch:variable;

+	mso-font-signature:-536858881 -1073732485 9 0 511 0;}

+@font-face

+	{font-family:"\@DengXian";

+	panose-1:2 1 6 0 3 1 1 1 1 1;

+	mso-font-charset:134;

+	mso-generic-font-family:auto;

+	mso-font-pitch:variable;

+	mso-font-signature:-1610612033 953122042 22 0 262159 0;}

+ /* Style Definitions */

+ p.MsoNormal, li.MsoNormal, div.MsoNormal

+	{mso-style-unhide:no;

+	mso-style-qformat:yes;

+	mso-style-parent:"";

+	margin-top:0in;

+	margin-right:0in;

+	margin-bottom:8.0pt;

+	margin-left:0in;

+	line-height:106%;

+	mso-pagination:widow-orphan;

+	font-size:11.0pt;

+	font-family:"Calibri",sans-serif;

+	mso-ascii-font-family:Calibri;

+	mso-ascii-theme-font:minor-latin;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;

+	mso-hansi-font-family:Calibri;

+	mso-hansi-theme-font:minor-latin;

+	mso-bidi-font-family:"Times New Roman";

+	mso-bidi-theme-font:minor-bidi;}

+h2

+	{mso-style-noshow:yes;

+	mso-style-priority:9;

+	mso-style-qformat:yes;

+	mso-style-link:"Heading 2 Char";

+	mso-margin-top-alt:auto;

+	margin-right:0in;

+	mso-margin-bottom-alt:auto;

+	margin-left:0in;

+	mso-pagination:widow-orphan;

+	mso-outline-level:2;

+	font-size:18.0pt;

+	font-family:"Times New Roman",serif;

+	font-weight:bold;}

+h3

+	{mso-style-noshow:yes;

+	mso-style-priority:9;

+	mso-style-qformat:yes;

+	mso-style-link:"Heading 3 Char";

+	mso-margin-top-alt:auto;

+	margin-right:0in;

+	mso-margin-bottom-alt:auto;

+	margin-left:0in;

+	mso-pagination:widow-orphan;

+	mso-outline-level:3;

+	font-size:13.5pt;

+	font-family:"Times New Roman",serif;

+	font-weight:bold;}

+p.MsoHeader, li.MsoHeader, div.MsoHeader

+	{mso-style-priority:99;

+	mso-style-link:"Header Char";

+	margin:0in;

+	mso-pagination:widow-orphan;

+	tab-stops:center 3.25in right 6.5in;

+	font-size:11.0pt;

+	font-family:"Calibri",sans-serif;

+	mso-ascii-font-family:Calibri;

+	mso-ascii-theme-font:minor-latin;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;

+	mso-hansi-font-family:Calibri;

+	mso-hansi-theme-font:minor-latin;

+	mso-bidi-font-family:"Times New Roman";

+	mso-bidi-theme-font:minor-bidi;}

+p.MsoFooter, li.MsoFooter, div.MsoFooter

+	{mso-style-priority:99;

+	mso-style-link:"Footer Char";

+	margin:0in;

+	mso-pagination:widow-orphan;

+	tab-stops:center 3.25in right 6.5in;

+	font-size:11.0pt;

+	font-family:"Calibri",sans-serif;

+	mso-ascii-font-family:Calibri;

+	mso-ascii-theme-font:minor-latin;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;

+	mso-hansi-font-family:Calibri;

+	mso-hansi-theme-font:minor-latin;

+	mso-bidi-font-family:"Times New Roman";

+	mso-bidi-theme-font:minor-bidi;}

+a:link, span.MsoHyperlink

+	{mso-style-noshow:yes;

+	mso-style-priority:99;

+	color:blue;

+	text-decoration:underline;

+	text-underline:single;}

+a:visited, span.MsoHyperlinkFollowed

+	{mso-style-noshow:yes;

+	mso-style-priority:99;

+	color:#954F72;

+	mso-themecolor:followedhyperlink;

+	text-decoration:underline;

+	text-underline:single;}

+p

+	{mso-style-priority:99;

+	mso-margin-top-alt:auto;

+	margin-right:0in;

+	mso-margin-bottom-alt:auto;

+	margin-left:0in;

+	mso-pagination:widow-orphan;

+	font-size:12.0pt;

+	font-family:"Times New Roman",serif;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;}

+span.Heading2Char

+	{mso-style-name:"Heading 2 Char";

+	mso-style-noshow:yes;

+	mso-style-priority:9;

+	mso-style-unhide:no;

+	mso-style-locked:yes;

+	mso-style-link:"Heading 2";

+	mso-ansi-font-size:18.0pt;

+	mso-bidi-font-size:18.0pt;

+	font-family:"Times New Roman",serif;

+	mso-ascii-font-family:"Times New Roman";

+	mso-hansi-font-family:"Times New Roman";

+	mso-bidi-font-family:"Times New Roman";

+	font-weight:bold;}

+span.Heading3Char

+	{mso-style-name:"Heading 3 Char";

+	mso-style-noshow:yes;

+	mso-style-priority:9;

+	mso-style-unhide:no;

+	mso-style-locked:yes;

+	mso-style-link:"Heading 3";

+	mso-ansi-font-size:13.5pt;

+	mso-bidi-font-size:13.5pt;

+	font-family:"Times New Roman",serif;

+	mso-ascii-font-family:"Times New Roman";

+	mso-hansi-font-family:"Times New Roman";

+	mso-bidi-font-family:"Times New Roman";

+	font-weight:bold;}

+p.msonormal0, li.msonormal0, div.msonormal0

+	{mso-style-name:msonormal;

+	mso-style-noshow:yes;

+	mso-style-priority:99;

+	mso-style-unhide:no;

+	mso-margin-top-alt:auto;

+	margin-right:0in;

+	mso-margin-bottom-alt:auto;

+	margin-left:0in;

+	mso-pagination:widow-orphan;

+	font-size:12.0pt;

+	font-family:"Times New Roman",serif;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;}

+span.HeaderChar

+	{mso-style-name:"Header Char";

+	mso-style-priority:99;

+	mso-style-unhide:no;

+	mso-style-locked:yes;

+	mso-style-link:Header;

+	mso-ansi-font-size:11.0pt;

+	mso-bidi-font-size:11.0pt;}

+span.FooterChar

+	{mso-style-name:"Footer Char";

+	mso-style-priority:99;

+	mso-style-unhide:no;

+	mso-style-locked:yes;

+	mso-style-link:Footer;

+	mso-ansi-font-size:11.0pt;

+	mso-bidi-font-size:11.0pt;}

+span.SpellE

+	{mso-style-name:"";

+	mso-spl-e:yes;}

+span.GramE

+	{mso-style-name:"";

+	mso-gram-e:yes;}

+.MsoChpDefault

+	{mso-style-type:export-only;

+	mso-default-props:yes;

+	font-size:10.0pt;

+	mso-ansi-font-size:10.0pt;

+	mso-bidi-font-size:10.0pt;

+	font-family:"Calibri",sans-serif;

+	mso-ascii-font-family:Calibri;

+	mso-ascii-theme-font:minor-latin;

+	mso-fareast-font-family:DengXian;

+	mso-fareast-theme-font:minor-fareast;

+	mso-hansi-font-family:Calibri;

+	mso-hansi-theme-font:minor-latin;

+	mso-bidi-font-family:"Times New Roman";

+	mso-bidi-theme-font:minor-bidi;}

+ /* Page Definitions */

+ @page

+	{mso-footnote-separator:url("table_files/header.htm") fs;

+	mso-footnote-continuation-separator:url("table_files/header.htm") fcs;

+	mso-endnote-separator:url("table_files/header.htm") es;

+	mso-endnote-continuation-separator:url("table_files/header.htm") ecs;}

+@page WordSection1

+	{size:8.5in 11.0in;

+	margin:1.0in 1.0in 1.0in 1.0in;

+	mso-header-margin:.5in;

+	mso-footer-margin:.5in;

+	mso-paper-source:0;}

+div.WordSection1

+	{page:WordSection1;}

+ /* List Definitions */

+ @list l0

+	{mso-list-id:11732666;

+	mso-list-template-ids:2111712486;}

+@list l0:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l0:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l0:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l0:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1

+	{mso-list-id:410156038;

+	mso-list-template-ids:-1470042962;}

+@list l1:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l1:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l1:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l1:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2

+	{mso-list-id:659305964;

+	mso-list-template-ids:-940139264;}

+@list l2:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l2:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l2:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l2:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3

+	{mso-list-id:670837195;

+	mso-list-template-ids:-199311850;}

+@list l3:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l3:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l3:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l3:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4

+	{mso-list-id:719667201;

+	mso-list-template-ids:737985950;}

+@list l4:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l4:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l4:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l4:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5

+	{mso-list-id:934561155;

+	mso-list-template-ids:-755338196;}

+@list l5:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l5:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l5:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l5:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6

+	{mso-list-id:1014116130;

+	mso-list-template-ids:-685502278;}

+@list l6:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l6:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l6:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l6:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7

+	{mso-list-id:1332105724;

+	mso-list-template-ids:-767685534;}

+@list l7:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l7:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l7:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l7:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8

+	{mso-list-id:1697923244;

+	mso-list-template-ids:-1786481070;}

+@list l8:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l8:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l8:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l8:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9

+	{mso-list-id:1826627832;

+	mso-list-template-ids:21380634;}

+@list l9:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l9:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l9:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l9:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10

+	{mso-list-id:2013528349;

+	mso-list-template-ids:-491626194;}

+@list l10:level1

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0B7;

+	mso-level-tab-stop:.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Symbol;}

+@list l10:level2

+	{mso-level-number-format:bullet;

+	mso-level-text:o;

+	mso-level-tab-stop:1.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:"Courier New";

+	mso-bidi-font-family:"Times New Roman";}

+@list l10:level3

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:1.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level4

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level5

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:2.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level6

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level7

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:3.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level8

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.0in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+@list l10:level9

+	{mso-level-number-format:bullet;

+	mso-level-text:\F0A7;

+	mso-level-tab-stop:4.5in;

+	mso-level-number-position:left;

+	text-indent:-.25in;

+	mso-ansi-font-size:10.0pt;

+	font-family:Wingdings;}

+ol

+	{margin-bottom:0in;}

+ul

+	{margin-bottom:0in;}

+-->

+</style>

+<!--[if gte mso 10]>

+<style>

+ /* Style Definitions */

+ table.MsoNormalTable

+	{mso-style-name:"Table Normal";

+	mso-tstyle-rowband-size:0;

+	mso-tstyle-colband-size:0;

+	mso-style-noshow:yes;

+	mso-style-priority:99;

+	mso-style-parent:"";

+	mso-padding-alt:0in 5.4pt 0in 5.4pt;

+	mso-para-margin:0in;

+	mso-pagination:widow-orphan;

+	font-size:10.0pt;

+	font-family:"Calibri",sans-serif;

+	mso-ascii-font-family:Calibri;

+	mso-ascii-theme-font:minor-latin;

+	mso-hansi-font-family:Calibri;

+	mso-hansi-theme-font:minor-latin;

+	mso-bidi-font-family:"Times New Roman";

+	mso-bidi-theme-font:minor-bidi;}

+</style>

+<![endif]--><!--[if gte mso 9]><xml>

+ <o:shapedefaults v:ext="edit" spidmax="2049"/>

+</xml><![endif]--><!--[if gte mso 9]><xml>

+ <o:shapelayout v:ext="edit">

+  <o:idmap v:ext="edit" data="1"/>

+ </o:shapelayout></xml><![endif]-->

+</head>

+

+<body lang=EN-US link=blue vlink="#954F72" style='tab-interval:.5in;word-wrap:

+break-word'>

+

+<div class=WordSection1>

+

+<p>Notes:</p>

+

+<ul type=disc>

+ <li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;

+     line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span

+     style='mso-fareast-font-family:"Times New Roman"'>Table somewhat

+     simplified<o:p></o:p></span></li>

+ <li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;

+     line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span

+     style='mso-fareast-font-family:"Times New Roman"'>&quot;if <span

+     class=SpellE>alloc</span>&quot; means <span class=SpellE>doCacheFill</span>

+     is set and <span class=SpellE>dataToBeInvalid</span>=false<o:p></o:p></span></li>

+ <li class=MsoNormal style='mso-margin-top-alt:auto;mso-margin-bottom-alt:auto;

+     line-height:normal;mso-list:l4 level1 lfo11;tab-stops:list .5in'><span

+     style='mso-fareast-font-family:"Times New Roman"'>On a <span class=SpellE>ReadNotSharedDirty</span>,

+     a the corresponding <span class=SpellE>SnpNotSharedDirty</span> is sent<o:p></o:p></span></li>

+</ul>

+

+<table class=MsoNormalTable border=1 cellspacing=0 cellpadding=0

+ style='border-collapse:collapse;border:none;mso-border-alt:solid windowtext .75pt;

+ mso-yfti-tbllook:1184;mso-padding-alt:0in 5.4pt 0in 5.4pt'>

+ <tr style='mso-yfti-irow:0;mso-yfti-firstrow:yes'>

+  <td width=140 style='width:104.95pt;border:solid windowtext 1.0pt;mso-border-alt:

+  solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;

+  mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman"'>Request source<o:p></o:p></span></b></p>

+  </td>

+  <td width=184 style='width:137.65pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>Incoming request</span></b><b><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+  <td width=150 style='width:112.5pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p align=center style='text-align:center;line-height:106%'><b><span

+  style='color:black;mso-color-alt:windowtext'>Data state</span><o:p></o:p></b></p>

+  </td>

+  <td width=162 style='width:121.5pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p align=center style='text-align:center;line-height:106%'><b><span

+  style='color:black;mso-color-alt:windowtext'>Directory state</span><o:p></o:p></b></p>

+  </td>

+  <td width=186 style='width:139.5pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p align=center style='text-align:center;line-height:106%'><b><span

+  style='color:black;mso-color-alt:windowtext'>Outgoing snoop</span><o:p></o:p></b></p>

+  </td>

+  <td width=228 style='width:171.0pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p align=center style='text-align:center;line-height:106%'><b><span

+  style='color:black;mso-color-alt:windowtext'>Outgoing requests (after snoop)</span><o:p></o:p></b></p>

+  </td>

+  <td width=234 style='width:175.5pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>Possible final data states</span></b><b><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+  <td width=210 style='width:157.5pt;border:solid windowtext 1.0pt;border-left:

+  none;mso-border-left-alt:solid windowtext .75pt;mso-border-alt:solid windowtext .75pt;

+  background:#D9D9D9;mso-background-themecolor:background1;mso-background-themeshade:

+  217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>Possible final directory states</span></b><b><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:1;page-break-inside:avoid'>

+  <td width=140 rowspan=28 style='width:104.95pt;border:solid windowtext 1.0pt;

+  border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:

+  solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;

+  mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='margin-bottom:12.0pt;text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>Upstream cache<br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br style='mso-special-character:line-break'>

+  <![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>

+  <![endif]></span></b><b><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+  <td width=184 rowspan=5 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>ReadShared</span></strong></span></p>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>ReadNotSharedDirty</span></strong></span><span

+  style='color:black;mso-color-alt:windowtext'><br>

+  <br style='mso-special-character:line-break'>

+  <![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>

+  <![endif]></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>I</span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadShared</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no HNF)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadNotSharedDirty</span></span><span

+  style='color:black;mso-color-alt:windowtext'> (if no HNF and SD state not

+  allowed by configuration)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if HNF)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> data state (if <span class=SpellE>alloc</span>) or I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:2;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>RSC,RUSC</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpOnce</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> data state (if <span class=SpellE>alloc</span>) or I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:3;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, RSC, <span class=GramE>RSD,RUSC</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:4;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,UC</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>,I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpShared</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous or UC/UD (if <span

+  class=SpellE>alloc</span>)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:5;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>RSD,

+  RUSD</span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpShared</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpSharedFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC/UD/UC (if <span class=SpellE>alloc</span>)

+  or I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:6;page-break-inside:avoid'>

+  <td width=184 rowspan=6 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>ReadUnique</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'><br>

+  <br>

+  <br>

+  <br>

+  <br>

+  <br style='mso-special-character:line-break'>

+  <![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>

+  <![endif]></span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no HNF)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if HNF)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UC (if <span class=SpellE>alloc</span>)

+  or I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:7;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span style='color:black;mso-color-alt:windowtext'>-</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:8;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpUnique</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpUniqueFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:9;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC, I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RUSD, RUSC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpUnique</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpUniqueFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous or UC (if <span

+  class=SpellE>alloc</span>)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:10;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no HNF)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:11;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadUnique</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no HNF)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:12;page-break-inside:avoid'>

+  <td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>CleanUnique</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RUSC, RUSD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:13;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:14;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, SC, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>CleanUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> (if no HNF)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:15;page-break-inside:avoid'>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Evict</span></strong><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:16;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Evict (if not HNF)</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:17;page-break-inside:avoid'>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteBackFull</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RU</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD ,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:18;page-break-inside:avoid'>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteEvictFull</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC, I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:19;page-break-inside:avoid'>

+  <td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>ReadOnce</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RUSC RU, RSC, I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:20;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RUSC, RU, RSC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpOnce</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no DCT)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>SnpOnceFwd</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if DCT)</span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:21;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadOnce</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if no HNF)</span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadNoSnp</span></span><span style='color:black;

+  mso-color-alt:windowtext'> (if HNF)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:22;page-break-inside:avoid'>

+  <td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:23;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:24;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SD, SC, I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC, I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:25;page-break-inside:avoid'>

+  <td width=184 rowspan=4 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></strong></span></p>

+  <p style='line-height:106%'><span class=GramE><span style='color:black;

+  mso-color-alt:windowtext'>( at</span></span><span style='color:black;

+  mso-color-alt:windowtext'> HNF)</span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:26;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RU, RSC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:27;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSD, RUSD, RU</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnp</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:28;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnpPtl</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:29;page-break-inside:avoid'>

+  <td width=140 rowspan=11 style='width:104.95pt;border:solid windowtext 1.0pt;

+  border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:

+  solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;

+  mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><strong><span

+  style='font-family:"Calibri",sans-serif;mso-ascii-theme-font:minor-latin;

+  mso-fareast-font-family:"Times New Roman";mso-hansi-theme-font:minor-latin;

+  mso-bidi-font-family:"Times New Roman";mso-bidi-theme-font:minor-bidi;

+  color:black;mso-color-alt:windowtext'>Internal</span></strong><b><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>LocalEvictionHNF</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteNoSnp</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'><br style='mso-special-character:line-break'>

+  <![if !supportLineBreakNewLine]><br style='mso-special-character:line-break'>

+  <![endif]></span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:30;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC, SC, SD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:31;page-break-inside:avoid'>

+  <td width=184 rowspan=6 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>LocalEviction</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Evict</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:32;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:33;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:34;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU, RSD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU, RSD, RUSD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:35;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:36;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:37;page-break-inside:avoid'>

+  <td width=184 rowspan=3 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>GlobalEviction</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteBackFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> / <span class=SpellE>WriteNoSnp</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:38;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RU</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteEvictFull</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> (if not HNF)</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:39;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Evict (if not HNF)</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:40;page-break-inside:avoid'>

+  <td width=140 rowspan=6 style='width:104.95pt;border:solid windowtext 1.0pt;

+  border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:

+  solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;

+  mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal align=center style='text-align:center'><b><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>Downstream cache</span></b><b><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></b></p>

+  </td>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></strong></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>,UC, SC</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:41;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>,UC, SC, I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RU, RSD, RUSD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpCleanInvalid</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:42;page-break-inside:avoid'>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>SnpUnique</span></strong></span><strong><span

+  style='font-family:"Calibri",sans-serif;mso-ascii-theme-font:minor-latin;

+  mso-fareast-font-family:"Times New Roman";mso-hansi-theme-font:minor-latin;

+  mso-bidi-font-family:"Times New Roman";mso-bidi-theme-font:minor-bidi;

+  color:black;mso-color-alt:windowtext'> <span class=SpellE>SnpUniqueFwd</span></span></strong><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>,UC, SC</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:43;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=GramE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>UD,SD</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'>,UC, SC, I</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC, RU, RSD, RUSD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:44;page-break-inside:avoid'>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>SnpShared</span></strong></span><strong><span

+  style='color:black;mso-color-alt:windowtext'> <span class=SpellE>SnpSharedFwd</span></span></strong></p>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>SnpNotSharedDirty</span></strong></span></p>

+  <p style='line-height:106%'><span class=SpellE><strong><span

+  style='color:black;mso-color-alt:windowtext'>SnpNotSharedDirtyFwd</span></strong></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:45;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC, I</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RU, RSD, RUSD</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>SnpShared</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I, SC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>RSC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:46;page-break-inside:avoid'>

+  <td width=140 rowspan=6 style='width:104.95pt;border:solid windowtext 1.0pt;

+  border-top:none;mso-border-top-alt:solid windowtext .75pt;mso-border-alt:

+  solid windowtext .75pt;background:#D9D9D9;mso-background-themecolor:background1;

+  mso-background-themeshade:217;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Local

+  CPU/DMA port </span></strong><span style='color:black;mso-color-alt:windowtext'>(<span

+  class=GramE>i.e.</span> incoming from sequencer through the “<span

+  class=SpellE>mandatoryQueue</span>”)</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><strong><span style='color:black;mso-color-alt:

+  windowtext'>Load</span></strong></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, SD, UC, SC</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:47;page-break-inside:avoid'>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadShared</span></span></p>

+  <p style='line-height:106%'><span class=SpellE><span style='color:black;

+  mso-color-alt:windowtext'>ReadNotSharedDirty</span></span><span

+  style='color:black;mso-color-alt:windowtext'> (if SD state not allowed by

+  configuration)</span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>Rcvd</span></span><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> data state</span><span style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:48;page-break-inside:avoid'>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Load</span></strong><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> (<span class=SpellE>alloc_seq</span> = false)</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>ReadOnce</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#DEEAF6;mso-background-themecolor:

+  accent5;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'></td>

+ </tr>

+ <tr style='mso-yfti-irow:49;page-break-inside:avoid'>

+  <td width=184 rowspan=2 style='width:137.65pt;border-top:none;border-left:

+  none;border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Store</span></strong><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD, UC</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:50;page-break-inside:avoid'>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>SD, SC, I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>ReadUnique</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>UD_T</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+ <tr style='mso-yfti-irow:51;mso-yfti-lastrow:yes;page-break-inside:avoid'>

+  <td width=184 style='width:137.65pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><strong><span style='font-family:"Calibri",sans-serif;

+  mso-ascii-theme-font:minor-latin;mso-fareast-font-family:"Times New Roman";

+  mso-hansi-theme-font:minor-latin;mso-bidi-font-family:"Times New Roman";

+  mso-bidi-theme-font:minor-bidi;color:black;mso-color-alt:windowtext'>Store</span></strong><span

+  style='mso-fareast-font-family:"Times New Roman";color:black;mso-color-alt:

+  windowtext'> (<span class=SpellE>alloc_seq</span> = false)</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=150 style='width:112.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=162 style='width:121.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=186 style='width:139.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>-</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=228 style='width:171.0pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span class=SpellE><span style='mso-fareast-font-family:

+  "Times New Roman";color:black;mso-color-alt:windowtext'>WriteUniquePtl</span></span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=234 style='width:175.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>I</span><span style='mso-fareast-font-family:

+  "Times New Roman"'><o:p></o:p></span></p>

+  </td>

+  <td width=210 style='width:157.5pt;border-top:none;border-left:none;

+  border-bottom:solid windowtext 1.0pt;border-right:solid windowtext 1.0pt;

+  mso-border-top-alt:solid windowtext .75pt;mso-border-left-alt:solid windowtext .75pt;

+  mso-border-alt:solid windowtext .75pt;background:#E2EFD9;mso-background-themecolor:

+  accent6;mso-background-themetint:51;padding:3.75pt 3.75pt 3.75pt 3.75pt'>

+  <p class=MsoNormal><span style='mso-fareast-font-family:"Times New Roman";

+  color:black;mso-color-alt:windowtext'>Same as previous</span><span

+  style='mso-fareast-font-family:"Times New Roman"'><o:p></o:p></span></p>

+  </td>

+ </tr>

+</table>

+

+<p class=MsoNormal><o:p>&nbsp;</o:p></p>

+

+</div>

+

+</body>

+

+</html>

diff --git a/assets/img/ruby_chi/sm_l1_cache.dot b/assets/img/ruby_chi/sm_l1_cache.dot
new file mode 100644
index 0000000..da80943
--- /dev/null
+++ b/assets/img/ruby_chi/sm_l1_cache.dot
@@ -0,0 +1,23 @@
+digraph finite_state_machine {
+    node [shape = circle, fixedsize=true, fontsize=12];
+    edge [fontsize=9];
+//    { rank=min UD SD }
+//    { rank=max UC SC }
+    I -> SC [ label = "Load miss/\nReadShared" ];
+    I -> UD [ label = "Store miss/\nReadUnique" ];
+    SC -> I [ label = "Replacement/\nEvict" ];
+    SC -> UD [ label = "Store miss/\nReadUnique" ];
+    SD -> I [ label = "Replacement/\nWriteBack" ];
+    SD -> UD [ label = "Store miss/\nReadUnique" ];
+    UC -> I [ label = "Replacement/\nWriteEvict" ];
+    UC -> SC [ label = "SnpShared" ];
+    UC -> UD [ label = "Store hit" ];
+    UD -> I [ label = "Replacement/\nWriteBack" ];
+    UD -> SD [ label = "SnpShared" ];
+    I -> UD_T [ label = "StoreConditional miss/\nReadUnique" ];
+    UD_T -> UD  [ label = "Timeout" ];
+    // UD -> UD [ label = "Load,Store hit" ];
+    // UC -> UC [ label = "Load hit" ];
+    // SC -> SC [ label = "Load hit" ];
+    // SD -> SD [ label = "Load hit" ];
+}
diff --git a/assets/img/ruby_chi/sm_l1_cache.svg b/assets/img/ruby_chi/sm_l1_cache.svg
new file mode 100644
index 0000000..49768b8
--- /dev/null
+++ b/assets/img/ruby_chi/sm_l1_cache.svg
@@ -0,0 +1,130 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN"
+ "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
+<!-- Generated by graphviz version 2.38.0 (20140413.2041)
+ -->
+<!-- Title: finite_state_machine Pages: 1 -->
+<svg width="423pt" height="412pt"
+ viewBox="0.00 0.00 423.01 412.00" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink">
+<g id="graph0" class="graph" transform="scale(1 1) rotate(0) translate(4 408)">
+<title>finite_state_machine</title>
+<polygon fill="white" stroke="none" points="-4,4 -4,-408 419.005,-408 419.005,4 -4,4"/>
+<!-- I -->
+<g id="node1" class="node"><title>I</title>
+<ellipse fill="none" stroke="black" cx="194.005" cy="-294" rx="18" ry="18"/>
+<text text-anchor="middle" x="194.005" y="-290.9" font-family="Times,serif" font-size="12.00">I</text>
+</g>
+<!-- SC -->
+<g id="node2" class="node"><title>SC</title>
+<ellipse fill="none" stroke="black" cx="42.005" cy="-202" rx="18" ry="18"/>
+<text text-anchor="middle" x="42.005" y="-198.9" font-family="Times,serif" font-size="12.00">SC</text>
+</g>
+<!-- I&#45;&gt;SC -->
+<g id="edge1" class="edge"><title>I&#45;&gt;SC</title>
+<path fill="none" stroke="black" d="M176.006,-292.376C133.909,-290.5 30.998,-283.363 10.005,-258 0.528705,-246.551 9.84947,-231.946 20.8281,-220.664"/>
+<polygon fill="black" stroke="black" points="23.2332,-223.207 28.1012,-213.797 18.4274,-218.117 23.2332,-223.207"/>
+<text text-anchor="middle" x="31.505" y="-250.8" font-family="Times,serif" font-size="9.00">Load miss/</text>
+<text text-anchor="middle" x="31.505" y="-240.8" font-family="Times,serif" font-size="9.00">ReadShared</text>
+</g>
+<!-- UD -->
+<g id="node3" class="node"><title>UD</title>
+<ellipse fill="none" stroke="black" cx="200.005" cy="-110" rx="18" ry="18"/>
+<text text-anchor="middle" x="200.005" y="-106.9" font-family="Times,serif" font-size="12.00">UD</text>
+</g>
+<!-- I&#45;&gt;UD -->
+<g id="edge2" class="edge"><title>I&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M208.348,-283.122C216.046,-276.912 224.796,-268.162 229.005,-258 232.407,-249.788 232.031,-246.358 229.005,-238 225.46,-228.208 218.168,-229.545 214.005,-220 202.601,-193.849 199.742,-161.06 199.338,-138.309"/>
+<polygon fill="black" stroke="black" points="202.837,-138.01 199.309,-128.02 195.837,-138.029 202.837,-138.01"/>
+<text text-anchor="middle" x="236.505" y="-204.8" font-family="Times,serif" font-size="9.00">Store miss/</text>
+<text text-anchor="middle" x="236.505" y="-194.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- UD_T -->
+<g id="node6" class="node"><title>UD_T</title>
+<ellipse fill="none" stroke="black" cx="146.005" cy="-202" rx="18" ry="18"/>
+<text text-anchor="middle" x="146.005" y="-198.9" font-family="Times,serif" font-size="12.00">UD_T</text>
+</g>
+<!-- I&#45;&gt;UD_T -->
+<g id="edge12" class="edge"><title>I&#45;&gt;UD_T</title>
+<path fill="none" stroke="black" d="M177.808,-285.758C166.958,-279.95 153.512,-270.67 147.005,-258 142.631,-249.482 141.529,-239.2 141.808,-229.841"/>
+<polygon fill="black" stroke="black" points="145.302,-230.058 142.609,-219.811 138.324,-229.501 145.302,-230.058"/>
+<text text-anchor="middle" x="188.005" y="-250.8" font-family="Times,serif" font-size="9.00">StoreConditional miss/</text>
+<text text-anchor="middle" x="188.005" y="-240.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- SC&#45;&gt;I -->
+<g id="edge3" class="edge"><title>SC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M51.1329,-217.576C59.5698,-229.945 73.1701,-247.335 89.005,-258 112.67,-273.938 144.207,-283.162 166.523,-288.099"/>
+<polygon fill="black" stroke="black" points="165.862,-291.536 176.363,-290.131 167.278,-284.681 165.862,-291.536"/>
+<text text-anchor="middle" x="113.005" y="-250.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="113.005" y="-240.8" font-family="Times,serif" font-size="9.00">Evict</text>
+</g>
+<!-- SC&#45;&gt;UD -->
+<g id="edge4" class="edge"><title>SC&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M52.9337,-187.517C63.5557,-175.192 80.7227,-157.223 99.005,-146 122.005,-131.881 151.468,-122.508 172.628,-117.046"/>
+<polygon fill="black" stroke="black" points="173.692,-120.389 182.571,-114.608 172.025,-113.59 173.692,-120.389"/>
+<text text-anchor="middle" x="121.505" y="-158.8" font-family="Times,serif" font-size="9.00">Store miss/</text>
+<text text-anchor="middle" x="121.505" y="-148.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- UD&#45;&gt;I -->
+<g id="edge10" class="edge"><title>UD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M218.194,-110.382C244.715,-110.595 293.301,-115.435 316.005,-146 346.666,-187.278 322.358,-220.662 287.005,-258 269.935,-276.028 242.667,-284.848 222.061,-289.115"/>
+<polygon fill="black" stroke="black" points="221.19,-285.716 211.982,-290.956 222.448,-292.602 221.19,-285.716"/>
+<text text-anchor="middle" x="353.005" y="-204.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="353.005" y="-194.8" font-family="Times,serif" font-size="9.00">WriteBack</text>
+</g>
+<!-- SD -->
+<g id="node4" class="node"><title>SD</title>
+<ellipse fill="none" stroke="black" cx="207.005" cy="-18" rx="18" ry="18"/>
+<text text-anchor="middle" x="207.005" y="-14.9" font-family="Times,serif" font-size="12.00">SD</text>
+</g>
+<!-- UD&#45;&gt;SD -->
+<g id="edge11" class="edge"><title>UD&#45;&gt;SD</title>
+<path fill="none" stroke="black" d="M184.156,-100.577C174.654,-94.5676 163.4,-85.518 158.005,-74 154.235,-65.9503 153.938,-61.9037 158.005,-54 163.1,-44.1002 172.436,-36.38 181.603,-30.7391"/>
+<polygon fill="black" stroke="black" points="183.495,-33.6922 190.55,-25.7877 180.105,-27.5675 183.495,-33.6922"/>
+<text text-anchor="middle" x="178.005" y="-61.8" font-family="Times,serif" font-size="9.00">SnpShared</text>
+</g>
+<!-- SD&#45;&gt;I -->
+<g id="edge5" class="edge"><title>SD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M223.214,-26.6374C233.706,-32.5249 246.57,-41.7375 253.005,-54 273.578,-93.2054 267.113,-177.376 259.005,-220 255.612,-237.837 255.252,-243.746 244.005,-258 237.113,-266.734 227.464,-274.192 218.478,-279.95"/>
+<polygon fill="black" stroke="black" points="216.618,-276.984 209.84,-285.127 220.216,-282.989 216.618,-276.984"/>
+<text text-anchor="middle" x="290.005" y="-158.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="290.005" y="-148.8" font-family="Times,serif" font-size="9.00">WriteBack</text>
+</g>
+<!-- SD&#45;&gt;UD -->
+<g id="edge6" class="edge"><title>SD&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M205.683,-35.9944C204.687,-48.8081 203.299,-66.6504 202.145,-81.4885"/>
+<polygon fill="black" stroke="black" points="198.641,-81.4058 201.355,-91.6471 205.62,-81.9486 198.641,-81.4058"/>
+<text text-anchor="middle" x="226.505" y="-66.8" font-family="Times,serif" font-size="9.00">Store miss/</text>
+<text text-anchor="middle" x="226.505" y="-56.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- UC -->
+<g id="node5" class="node"><title>UC</title>
+<ellipse fill="none" stroke="black" cx="194.005" cy="-386" rx="18" ry="18"/>
+<text text-anchor="middle" x="194.005" y="-382.9" font-family="Times,serif" font-size="12.00">UC</text>
+</g>
+<!-- UC&#45;&gt;I -->
+<g id="edge7" class="edge"><title>UC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M194.005,-367.647C194.005,-354.823 194.005,-337.108 194.005,-322.381"/>
+<polygon fill="black" stroke="black" points="197.505,-322.3 194.005,-312.3 190.505,-322.3 197.505,-322.3"/>
+<text text-anchor="middle" x="218.005" y="-342.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="218.005" y="-332.8" font-family="Times,serif" font-size="9.00">WriteEvict</text>
+</g>
+<!-- UC&#45;&gt;SC -->
+<g id="edge8" class="edge"><title>UC&#45;&gt;SC</title>
+<path fill="none" stroke="black" d="M176.875,-379.799C137.476,-366.794 40.9093,-328.722 3.00504,-258 -1.19399,-250.165 -0.617061,-246.117 3.00504,-238 6.44574,-230.289 12.5178,-223.569 18.861,-218.135"/>
+<polygon fill="black" stroke="black" points="21.0834,-220.841 26.8631,-211.961 16.8076,-215.298 21.0834,-220.841"/>
+<text text-anchor="middle" x="66.005" y="-291.8" font-family="Times,serif" font-size="9.00">SnpShared</text>
+</g>
+<!-- UC&#45;&gt;UD -->
+<g id="edge9" class="edge"><title>UC&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M210.589,-378.158C263.843,-355.101 424.568,-276.491 381.005,-184 354.329,-127.363 271.875,-114.454 228.053,-111.655"/>
+<polygon fill="black" stroke="black" points="228.218,-108.159 218.054,-111.15 227.865,-115.15 228.218,-108.159"/>
+<text text-anchor="middle" x="399.505" y="-245.8" font-family="Times,serif" font-size="9.00">Store hit</text>
+</g>
+<!-- UD_T&#45;&gt;UD -->
+<g id="edge13" class="edge"><title>UD_T&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M146.069,-183.54C146.828,-172.14 149.226,-157.325 156.005,-146 160.905,-137.813 168.478,-130.775 175.969,-125.203"/>
+<polygon fill="black" stroke="black" points="178.263,-127.875 184.559,-119.353 174.323,-122.089 178.263,-127.875"/>
+<text text-anchor="middle" x="171.005" y="-153.8" font-family="Times,serif" font-size="9.00">Timeout</text>
+</g>
+</g>
+</svg>
diff --git a/assets/img/ruby_chi/sm_lx_cache.dot b/assets/img/ruby_chi/sm_lx_cache.dot
new file mode 100644
index 0000000..959106b
--- /dev/null
+++ b/assets/img/ruby_chi/sm_lx_cache.dot
@@ -0,0 +1,18 @@
+digraph finite_state_machine {
+    node [shape = circle, fixedsize=true, fontsize=12];
+    edge [fontsize=9];
+    I -> SC [ label = "ReadShared miss/\nReadShared" ];
+    I -> UC [ label = "ReadUnique miss/\nReadUnique" ];
+    SC -> I [ label = "Replacement/\nEvict" ];
+    SC -> UC [ label = "ReadUnique miss/\nReadUnique" ];
+    SD -> I [ label = "Replacement/\nWriteBack" ];
+    SD -> UD [ label = "ReadUnique miss/\nReadUnique" ];
+    UC -> I [ label = "Replacement/\nWriteEvict" ];
+    UC -> SC [ label = "SnpShared/\n_" ];
+    UD -> I [ label = "Replacement/\nWriteBack" ];
+    UD -> SD [ label = "SnpShared/\n_" ];
+    UC,I -> UC [ label = "WriteEvict/\n_" ];
+    SD,I -> SD [ label = "WriteBack/\n_" ];
+    UC,UD,I -> UD [ label = "WriteBack/\n_" ];
+    UC,UD,SD,SC -> I [ label = "SnpUnique" ];
+}
diff --git a/assets/img/ruby_chi/sm_lx_cache.svg b/assets/img/ruby_chi/sm_lx_cache.svg
new file mode 100644
index 0000000..7445861
--- /dev/null
+++ b/assets/img/ruby_chi/sm_lx_cache.svg
@@ -0,0 +1,181 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN"
+ "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
+<!-- Generated by graphviz version 2.38.0 (20140413.2041)
+ -->
+<!-- Title: finite_state_machine Pages: 1 -->
+<svg width="782pt" height="412pt"
+ viewBox="0.00 0.00 782.00 412.00" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink">
+<g id="graph0" class="graph" transform="scale(1 1) rotate(0) translate(4 408)">
+<title>finite_state_machine</title>
+<polygon fill="white" stroke="none" points="-4,4 -4,-408 778,-408 778,4 -4,4"/>
+<!-- I -->
+<g id="node1" class="node"><title>I</title>
+<ellipse fill="none" stroke="black" cx="330" cy="-386" rx="18" ry="18"/>
+<text text-anchor="middle" x="330" y="-382.9" font-family="Times,serif" font-size="12.00">I</text>
+</g>
+<!-- SC -->
+<g id="node2" class="node"><title>SC</title>
+<ellipse fill="none" stroke="black" cx="330" cy="-294" rx="18" ry="18"/>
+<text text-anchor="middle" x="330" y="-290.9" font-family="Times,serif" font-size="12.00">SC</text>
+</g>
+<!-- I&#45;&gt;SC -->
+<g id="edge1" class="edge"><title>I&#45;&gt;SC</title>
+<path fill="none" stroke="black" d="M345.849,-376.577C355.351,-370.568 366.605,-361.518 372,-350 375.77,-341.95 375.77,-338.05 372,-330 368.123,-321.721 361.218,-314.718 354.113,-309.202"/>
+<polygon fill="black" stroke="black" points="356.05,-306.286 345.849,-303.423 352.038,-312.022 356.05,-306.286"/>
+<text text-anchor="middle" x="406" y="-342.8" font-family="Times,serif" font-size="9.00">ReadShared miss/</text>
+<text text-anchor="middle" x="406" y="-332.8" font-family="Times,serif" font-size="9.00">ReadShared</text>
+</g>
+<!-- UC -->
+<g id="node3" class="node"><title>UC</title>
+<ellipse fill="none" stroke="black" cx="467" cy="-202" rx="18" ry="18"/>
+<text text-anchor="middle" x="467" y="-198.9" font-family="Times,serif" font-size="12.00">UC</text>
+</g>
+<!-- I&#45;&gt;UC -->
+<g id="edge2" class="edge"><title>I&#45;&gt;UC</title>
+<path fill="none" stroke="black" d="M348.009,-385.389C373.732,-384.845 420.28,-379.544 442,-350 447.265,-342.838 446.125,-337.874 442,-330 435.477,-317.551 422.523,-324.449 416,-312 408.574,-297.828 407.514,-289.564 416,-276 425.699,-260.497 441.45,-272.178 453,-258 459.398,-250.146 462.916,-239.73 464.834,-230.076"/>
+<polygon fill="black" stroke="black" points="468.314,-230.468 466.348,-220.057 461.393,-229.421 468.314,-230.468"/>
+<text text-anchor="middle" x="449" y="-296.8" font-family="Times,serif" font-size="9.00">ReadUnique miss/</text>
+<text text-anchor="middle" x="449" y="-286.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- I&#45;&gt;UC -->
+<g id="edge12" class="edge"><title>I&#45;&gt;UC</title>
+<path fill="none" stroke="black" d="M348.131,-383.98C374.447,-381.682 423.709,-374.217 457,-350 473.348,-338.108 476.201,-331.366 482,-312 490.278,-284.355 483.562,-251.378 476.666,-228.99"/>
+<polygon fill="black" stroke="black" points="479.896,-227.61 473.435,-219.214 473.25,-229.807 479.896,-227.61"/>
+<text text-anchor="middle" x="505" y="-296.8" font-family="Times,serif" font-size="9.00">WriteEvict/</text>
+<text text-anchor="middle" x="505" y="-286.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- SD -->
+<g id="node4" class="node"><title>SD</title>
+<ellipse fill="none" stroke="black" cx="109" cy="-18" rx="18" ry="18"/>
+<text text-anchor="middle" x="109" y="-14.9" font-family="Times,serif" font-size="12.00">SD</text>
+</g>
+<!-- I&#45;&gt;SD -->
+<g id="edge14" class="edge"><title>I&#45;&gt;SD</title>
+<path fill="none" stroke="black" d="M311.788,-384.008C242.607,-379.75 0,-360.174 0,-295 0,-295 0,-295 0,-109 0,-66.4833 49.9221,-40.2935 82.196,-27.8046"/>
+<polygon fill="black" stroke="black" points="83.7809,-30.9517 91.9688,-24.2279 81.375,-24.3781 83.7809,-30.9517"/>
+<text text-anchor="middle" x="20" y="-204.8" font-family="Times,serif" font-size="9.00">WriteBack/</text>
+<text text-anchor="middle" x="20" y="-194.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- UD -->
+<g id="node5" class="node"><title>UD</title>
+<ellipse fill="none" stroke="black" cx="196" cy="-110" rx="18" ry="18"/>
+<text text-anchor="middle" x="196" y="-106.9" font-family="Times,serif" font-size="12.00">UD</text>
+</g>
+<!-- I&#45;&gt;UD -->
+<g id="edge17" class="edge"><title>I&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M312.36,-382.15C294.196,-378.231 266.554,-369.326 252,-350 221.752,-309.832 246.908,-287.086 236,-238 226.728,-196.277 221.155,-186.666 208,-146 207.042,-143.04 206.017,-139.946 204.985,-136.877"/>
+<polygon fill="black" stroke="black" points="208.274,-135.678 201.735,-127.342 201.649,-137.936 208.274,-135.678"/>
+<text text-anchor="middle" x="258" y="-250.8" font-family="Times,serif" font-size="9.00">WriteBack/</text>
+<text text-anchor="middle" x="258" y="-240.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- SC&#45;&gt;I -->
+<g id="edge3" class="edge"><title>SC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M312.358,-298.352C295.61,-302.572 271.358,-311.623 260,-330 255.327,-337.561 255.327,-342.439 260,-350 269.317,-365.075 287.311,-373.874 302.721,-378.879"/>
+<polygon fill="black" stroke="black" points="301.78,-382.251 312.358,-381.648 303.714,-375.523 301.78,-382.251"/>
+<text text-anchor="middle" x="284" y="-342.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="284" y="-332.8" font-family="Times,serif" font-size="9.00">Evict</text>
+</g>
+<!-- SC&#45;&gt;I -->
+<g id="edge21" class="edge"><title>SC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M328.242,-312.071C327.735,-317.766 327.25,-324.151 327,-330 326.62,-338.881 326.62,-341.119 327,-350 327.109,-352.559 327.264,-355.221 327.445,-357.882"/>
+<polygon fill="black" stroke="black" points="323.962,-358.237 328.242,-367.929 330.94,-357.683 323.962,-358.237"/>
+<text text-anchor="middle" x="347.5" y="-337.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- SC&#45;&gt;UC -->
+<g id="edge4" class="edge"><title>SC&#45;&gt;UC</title>
+<path fill="none" stroke="black" d="M322.011,-277.393C317.113,-265.401 313.285,-249.069 322,-238 336.253,-219.898 400.743,-210.051 438.749,-205.729"/>
+<polygon fill="black" stroke="black" points="439.589,-209.159 449.156,-204.608 438.839,-202.199 439.589,-209.159"/>
+<text text-anchor="middle" x="355" y="-250.8" font-family="Times,serif" font-size="9.00">ReadUnique miss/</text>
+<text text-anchor="middle" x="355" y="-240.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- UC&#45;&gt;I -->
+<g id="edge7" class="edge"><title>UC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M482.161,-211.721C492.797,-218.226 507.02,-227.73 518,-238 543.919,-262.242 559.639,-278.474 548,-312 540.943,-332.328 536.419,-338.876 518,-350 467.604,-380.434 396.82,-385.499 358.074,-385.738"/>
+<polygon fill="black" stroke="black" points="358.054,-382.238 348.038,-385.694 358.023,-389.238 358.054,-382.238"/>
+<text text-anchor="middle" x="575" y="-296.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="575" y="-286.8" font-family="Times,serif" font-size="9.00">WriteEvict</text>
+</g>
+<!-- UC&#45;&gt;I -->
+<g id="edge18" class="edge"><title>UC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M484.289,-207.692C527.193,-220.27 632.981,-257.524 603,-312 587.781,-339.654 572.5,-338.771 543,-350 479.824,-374.048 399.927,-381.584 358.239,-383.937"/>
+<polygon fill="black" stroke="black" points="357.828,-380.453 348.017,-384.452 358.18,-387.445 357.828,-380.453"/>
+<text text-anchor="middle" x="628.5" y="-291.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- UC&#45;&gt;SC -->
+<g id="edge8" class="edge"><title>UC&#45;&gt;SC</title>
+<path fill="none" stroke="black" d="M451.36,-211.878C439.884,-218.605 424.086,-228.315 411,-238 400.112,-246.059 398.951,-250.026 388,-258 377.411,-265.71 365.058,-273.336 354.479,-279.492"/>
+<polygon fill="black" stroke="black" points="352.678,-276.49 345.729,-284.488 356.149,-282.569 352.678,-276.49"/>
+<text text-anchor="middle" x="432" y="-250.8" font-family="Times,serif" font-size="9.00">SnpShared/</text>
+<text text-anchor="middle" x="432" y="-240.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- UC&#45;&gt;UC -->
+<g id="edge11" class="edge"><title>UC&#45;&gt;UC</title>
+<path fill="none" stroke="black" d="M483.29,-210.016C493.389,-211.949 503,-209.277 503,-202 503,-197.338 499.056,-194.566 493.566,-193.684"/>
+<polygon fill="black" stroke="black" points="493.184,-190.193 483.29,-193.984 493.388,-197.19 493.184,-190.193"/>
+<text text-anchor="middle" x="523" y="-204.8" font-family="Times,serif" font-size="9.00">WriteEvict/</text>
+<text text-anchor="middle" x="523" y="-194.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- UC&#45;&gt;UD -->
+<g id="edge15" class="edge"><title>UC&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M450.048,-195.37C404.327,-180.186 278.198,-138.298 222.746,-119.882"/>
+<polygon fill="black" stroke="black" points="223.539,-116.458 212.946,-116.628 221.333,-123.101 223.539,-116.458"/>
+<text text-anchor="middle" x="375" y="-158.8" font-family="Times,serif" font-size="9.00">WriteBack/</text>
+<text text-anchor="middle" x="375" y="-148.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- SD&#45;&gt;I -->
+<g id="edge5" class="edge"><title>SD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M99.9826,-33.6817C90.2119,-51.0185 76,-81.041 76,-109 76,-295 76,-295 76,-295 76,-336.695 113.766,-333.369 152,-350 201.99,-371.745 265.756,-380.086 301.815,-383.207"/>
+<polygon fill="black" stroke="black" points="301.825,-386.719 312.07,-384.017 302.377,-379.74 301.825,-386.719"/>
+<text text-anchor="middle" x="100" y="-204.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="100" y="-194.8" font-family="Times,serif" font-size="9.00">WriteBack</text>
+</g>
+<!-- SD&#45;&gt;I -->
+<g id="edge20" class="edge"><title>SD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M127.002,-19.6489C229.313,-23.569 733,-46.0638 733,-109 733,-295 733,-295 733,-295 733,-371.897 449.992,-383.116 358.301,-384.734"/>
+<polygon fill="black" stroke="black" points="358.045,-381.237 348.099,-384.887 358.15,-388.236 358.045,-381.237"/>
+<text text-anchor="middle" x="753.5" y="-199.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- SD&#45;&gt;SD -->
+<g id="edge13" class="edge"><title>SD&#45;&gt;SD</title>
+<path fill="none" stroke="black" d="M125.29,-26.0164C135.389,-27.9495 145,-25.2773 145,-18 145,-13.338 141.056,-10.5659 135.566,-9.68378"/>
+<polygon fill="black" stroke="black" points="135.184,-6.19333 125.29,-9.98355 135.388,-13.1903 135.184,-6.19333"/>
+<text text-anchor="middle" x="165" y="-20.8" font-family="Times,serif" font-size="9.00">WriteBack/</text>
+<text text-anchor="middle" x="165" y="-10.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- SD&#45;&gt;UD -->
+<g id="edge6" class="edge"><title>SD&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M104.771,-35.8527C102.847,-47.5591 102.437,-62.9744 110,-74 122.985,-92.9299 148.135,-101.63 167.899,-105.623"/>
+<polygon fill="black" stroke="black" points="167.481,-109.103 177.93,-107.353 168.67,-102.205 167.481,-109.103"/>
+<text text-anchor="middle" x="143" y="-66.8" font-family="Times,serif" font-size="9.00">ReadUnique miss/</text>
+<text text-anchor="middle" x="143" y="-56.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- UD&#45;&gt;I -->
+<g id="edge9" class="edge"><title>UD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M185.217,-124.425C168.234,-146.528 135.958,-192.661 124,-238 121.733,-246.595 121.6,-249.441 124,-258 136.924,-304.09 141.226,-321.93 180,-350 216.392,-376.346 269.623,-383.186 301.916,-384.775"/>
+<polygon fill="black" stroke="black" points="301.893,-388.277 312.011,-385.134 302.141,-381.281 301.893,-388.277"/>
+<text text-anchor="middle" x="148" y="-250.8" font-family="Times,serif" font-size="9.00">Replacement/</text>
+<text text-anchor="middle" x="148" y="-240.8" font-family="Times,serif" font-size="9.00">WriteBack</text>
+</g>
+<!-- UD&#45;&gt;I -->
+<g id="edge19" class="edge"><title>UD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M192.601,-128.029C187.702,-155.703 180.347,-211.976 191,-258 201.264,-302.346 202.269,-319.437 236,-350 254.462,-366.728 281.645,-375.69 302.037,-380.342"/>
+<polygon fill="black" stroke="black" points="301.498,-383.804 311.999,-382.4 302.914,-376.949 301.498,-383.804"/>
+<text text-anchor="middle" x="211.5" y="-245.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- UD&#45;&gt;SD -->
+<g id="edge10" class="edge"><title>UD&#45;&gt;SD</title>
+<path fill="none" stroke="black" d="M193.231,-92.2006C190.545,-80.3691 185.437,-64.7791 176,-54 165.288,-41.7637 149.247,-33.1725 135.546,-27.5647"/>
+<polygon fill="black" stroke="black" points="136.685,-24.2537 126.093,-23.9992 134.215,-30.8033 136.685,-24.2537"/>
+<text text-anchor="middle" x="208" y="-66.8" font-family="Times,serif" font-size="9.00">SnpShared/</text>
+<text text-anchor="middle" x="208" y="-56.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+<!-- UD&#45;&gt;UD -->
+<g id="edge16" class="edge"><title>UD&#45;&gt;UD</title>
+<path fill="none" stroke="black" d="M212.29,-118.016C222.389,-119.949 232,-117.277 232,-110 232,-105.338 228.056,-102.566 222.566,-101.684"/>
+<polygon fill="black" stroke="black" points="222.184,-98.1933 212.29,-101.984 222.388,-105.19 222.184,-98.1933"/>
+<text text-anchor="middle" x="252" y="-112.8" font-family="Times,serif" font-size="9.00">WriteBack/</text>
+<text text-anchor="middle" x="252" y="-102.8" font-family="Times,serif" font-size="9.00">_</text>
+</g>
+</g>
+</svg>
diff --git a/assets/img/ruby_chi/sm_lx_dir.dot b/assets/img/ruby_chi/sm_lx_dir.dot
new file mode 100644
index 0000000..db8260b
--- /dev/null
+++ b/assets/img/ruby_chi/sm_lx_dir.dot
@@ -0,0 +1,17 @@
+digraph finite_state_machine {
+    node [shape = circle, fixedsize=true, fontsize=12];
+    edge [fontsize=9];
+    I -> RSC [ label = "ReadShared" ];
+    RU -> RSD [ label = "ReadShared/\nSnpShared, dirty resp" ];
+    RU -> RSC [ label = "ReadShared/\nSnpShared, clean resp" ];
+    I -> RU [ label = "ReadUnique" ];
+    I,RSC,RSD -> RU [ label = "ReadUnique/\nSnpUnique" ];
+    RSC -> I [ label = "Evict (#sharers>0)" ];
+    RSD -> RSC [ label = "WriteBack (#sharers>0)" ];
+    RSD -> I [ label = "WriteBack (#sharers=0)" ];
+    RU -> I [ label = "WriteBack" ];
+    RU -> I [ label = "WriteEvict" ];
+    RU -> RSC [ label = "SnpShared/\nSnpShared, clean resp" ];
+    RU -> RSD [ label = "SnpShared/\nSnpShared, dirty resp" ];
+    RU,RSC,RSD -> I [ label = "SnpUnique" ];
+}
diff --git a/assets/img/ruby_chi/sm_lx_dir.svg b/assets/img/ruby_chi/sm_lx_dir.svg
new file mode 100644
index 0000000..3624057
--- /dev/null
+++ b/assets/img/ruby_chi/sm_lx_dir.svg
@@ -0,0 +1,142 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!DOCTYPE svg PUBLIC "-//W3C//DTD SVG 1.1//EN"
+ "http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd">
+<!-- Generated by graphviz version 2.38.0 (20140413.2041)
+ -->
+<!-- Title: finite_state_machine Pages: 1 -->
+<svg width="658pt" height="310pt"
+ viewBox="0.00 0.00 658.11 310.00" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink">
+<g id="graph0" class="graph" transform="scale(1 1) rotate(0) translate(4 306)">
+<title>finite_state_machine</title>
+<polygon fill="white" stroke="none" points="-4,4 -4,-306 654.111,-306 654.111,4 -4,4"/>
+<!-- I -->
+<g id="node1" class="node"><title>I</title>
+<ellipse fill="none" stroke="black" cx="334.111" cy="-284" rx="18" ry="18"/>
+<text text-anchor="middle" x="334.111" y="-280.9" font-family="Times,serif" font-size="12.00">I</text>
+</g>
+<!-- RSC -->
+<g id="node2" class="node"><title>RSC</title>
+<ellipse fill="none" stroke="black" cx="69.1115" cy="-202" rx="18" ry="18"/>
+<text text-anchor="middle" x="69.1115" y="-198.9" font-family="Times,serif" font-size="12.00">RSC</text>
+</g>
+<!-- I&#45;&gt;RSC -->
+<g id="edge1" class="edge"><title>I&#45;&gt;RSC</title>
+<path fill="none" stroke="black" d="M315.845,-282.458C249.648,-280.311 25.1105,-271.344 4.11148,-248 -10.5062,-231.75 18.2157,-218.304 42.0133,-210.461"/>
+<polygon fill="black" stroke="black" points="43.2462,-213.743 51.7701,-207.451 41.1826,-207.054 43.2462,-213.743"/>
+<text text-anchor="middle" x="25.6115" y="-240.8" font-family="Times,serif" font-size="9.00">ReadShared</text>
+</g>
+<!-- RU -->
+<g id="node3" class="node"><title>RU</title>
+<ellipse fill="none" stroke="black" cx="293.111" cy="-110" rx="18" ry="18"/>
+<text text-anchor="middle" x="293.111" y="-106.9" font-family="Times,serif" font-size="12.00">RU</text>
+</g>
+<!-- I&#45;&gt;RU -->
+<g id="edge4" class="edge"><title>I&#45;&gt;RU</title>
+<path fill="none" stroke="black" d="M316.773,-278.719C291.566,-271.456 245.68,-254.07 226.111,-220 218.143,-206.126 218.444,-198.043 226.111,-184 233.201,-171.015 243.415,-176.22 254.111,-166 263.668,-156.868 272.382,-145.091 279.137,-134.779"/>
+<polygon fill="black" stroke="black" points="282.174,-136.525 284.542,-126.198 276.251,-132.795 282.174,-136.525"/>
+<text text-anchor="middle" x="248.611" y="-199.8" font-family="Times,serif" font-size="9.00">ReadUnique</text>
+</g>
+<!-- I&#45;&gt;RU -->
+<g id="edge5" class="edge"><title>I&#45;&gt;RU</title>
+<path fill="none" stroke="black" d="M326.099,-267.64C319.934,-255.144 311.715,-236.876 307.111,-220 299.689,-192.793 296.171,-160.666 294.523,-138.37"/>
+<polygon fill="black" stroke="black" points="298.008,-138.026 293.855,-128.279 291.023,-138.489 298.008,-138.026"/>
+<text text-anchor="middle" x="330.611" y="-204.8" font-family="Times,serif" font-size="9.00">ReadUnique/</text>
+<text text-anchor="middle" x="330.611" y="-194.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- RSC&#45;&gt;I -->
+<g id="edge8" class="edge"><title>RSC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M64.6731,-219.474C63.1804,-228.948 63.3323,-240.434 70.1115,-248 100.891,-282.351 244.054,-284.417 305.726,-283.657"/>
+<polygon fill="black" stroke="black" points="305.968,-287.154 315.911,-283.496 305.857,-280.155 305.968,-287.154"/>
+<text text-anchor="middle" x="103.611" y="-240.8" font-family="Times,serif" font-size="9.00">Evict (#sharers&gt;0)</text>
+</g>
+<!-- RSC&#45;&gt;I -->
+<g id="edge16" class="edge"><title>RSC&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M84.8053,-211.382C106.64,-222.934 145.183,-242.905 160.111,-248 210.193,-265.091 271.385,-275.002 306.244,-279.675"/>
+<polygon fill="black" stroke="black" points="305.808,-283.148 316.175,-280.959 306.706,-276.206 305.808,-283.148"/>
+<text text-anchor="middle" x="180.611" y="-240.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- RSC&#45;&gt;RU -->
+<g id="edge6" class="edge"><title>RSC&#45;&gt;RU</title>
+<path fill="none" stroke="black" d="M52.8367,-193.985C31.3806,-183.793 -1.88145,-164.125 14.1115,-146 30.5186,-127.406 196.642,-116.279 264.484,-112.48"/>
+<polygon fill="black" stroke="black" points="265.064,-115.954 274.858,-111.914 264.682,-108.964 265.064,-115.954"/>
+<text text-anchor="middle" x="37.6115" y="-158.8" font-family="Times,serif" font-size="9.00">ReadUnique/</text>
+<text text-anchor="middle" x="37.6115" y="-148.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- RU&#45;&gt;I -->
+<g id="edge11" class="edge"><title>RU&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M306.692,-121.933C321.612,-134.907 344.705,-158.072 354.111,-184 363.054,-208.648 354.726,-238.279 346.252,-258.662"/>
+<polygon fill="black" stroke="black" points="343.026,-257.304 342.156,-267.863 349.421,-260.151 343.026,-257.304"/>
+<text text-anchor="middle" x="376.111" y="-199.8" font-family="Times,serif" font-size="9.00">WriteBack</text>
+</g>
+<!-- RU&#45;&gt;I -->
+<g id="edge12" class="edge"><title>RU&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M310.123,-116.596C335.297,-125.939 381.401,-147.392 399.111,-184 406.079,-198.403 405.35,-205.266 399.111,-220 390.66,-239.961 372.447,-256.701 357.444,-267.896"/>
+<polygon fill="black" stroke="black" points="355.211,-265.189 349.08,-273.829 359.261,-270.898 355.211,-265.189"/>
+<text text-anchor="middle" x="422.111" y="-199.8" font-family="Times,serif" font-size="9.00">WriteEvict</text>
+</g>
+<!-- RU&#45;&gt;I -->
+<g id="edge15" class="edge"><title>RU&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M310.897,-112.922C345.042,-117.717 418.73,-133.707 445.111,-184 452.544,-198.169 453.08,-206.126 445.111,-220 427.379,-250.872 388.181,-267.809 361.447,-276.141"/>
+<polygon fill="black" stroke="black" points="360.167,-272.868 351.539,-279.017 362.118,-279.591 360.167,-272.868"/>
+<text text-anchor="middle" x="470.611" y="-199.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- RU&#45;&gt;RSC -->
+<g id="edge3" class="edge"><title>RU&#45;&gt;RSC</title>
+<path fill="none" stroke="black" d="M274.993,-111.115C227.317,-111.829 100.185,-116.516 73.1115,-146 66.239,-153.484 64.3774,-164.216 64.5531,-174.216"/>
+<polygon fill="black" stroke="black" points="61.0657,-174.516 65.349,-184.206 68.0436,-173.96 61.0657,-174.516"/>
+<text text-anchor="middle" x="112.611" y="-158.8" font-family="Times,serif" font-size="9.00">ReadShared/</text>
+<text text-anchor="middle" x="112.611" y="-148.8" font-family="Times,serif" font-size="9.00">SnpShared, clean resp</text>
+</g>
+<!-- RU&#45;&gt;RSC -->
+<g id="edge13" class="edge"><title>RU&#45;&gt;RSC</title>
+<path fill="none" stroke="black" d="M275.402,-113.816C251.49,-118.146 208.079,-127.902 175.111,-146 163.237,-152.519 163.607,-158.834 152.111,-166 134.417,-177.03 112.64,-186.064 95.8328,-192.19"/>
+<polygon fill="black" stroke="black" points="94.3609,-188.997 86.0909,-195.62 96.6857,-195.6 94.3609,-188.997"/>
+<text text-anchor="middle" x="214.611" y="-158.8" font-family="Times,serif" font-size="9.00">SnpShared/</text>
+<text text-anchor="middle" x="214.611" y="-148.8" font-family="Times,serif" font-size="9.00">SnpShared, clean resp</text>
+</g>
+<!-- RSD -->
+<g id="node4" class="node"><title>RSD</title>
+<ellipse fill="none" stroke="black" cx="393.111" cy="-18" rx="18" ry="18"/>
+<text text-anchor="middle" x="393.111" y="-14.9" font-family="Times,serif" font-size="12.00">RSD</text>
+</g>
+<!-- RU&#45;&gt;RSD -->
+<g id="edge2" class="edge"><title>RU&#45;&gt;RSD</title>
+<path fill="none" stroke="black" d="M277.046,-101.279C257.593,-90.896 229.074,-71.6901 243.111,-54 258.071,-35.1477 326.15,-25.5031 365.254,-21.4381"/>
+<polygon fill="black" stroke="black" points="365.607,-24.9204 375.216,-20.4579 364.921,-17.954 365.607,-24.9204"/>
+<text text-anchor="middle" x="282.111" y="-66.8" font-family="Times,serif" font-size="9.00">ReadShared/</text>
+<text text-anchor="middle" x="282.111" y="-56.8" font-family="Times,serif" font-size="9.00">SnpShared, dirty resp</text>
+</g>
+<!-- RU&#45;&gt;RSD -->
+<g id="edge14" class="edge"><title>RU&#45;&gt;RSD</title>
+<path fill="none" stroke="black" d="M303.968,-95.4379C315.275,-81.4735 332.393,-60.8194 340.111,-54 348.878,-46.254 359.482,-38.9171 368.893,-32.9805"/>
+<polygon fill="black" stroke="black" points="370.745,-35.9509 377.453,-27.7502 367.095,-29.9777 370.745,-35.9509"/>
+<text text-anchor="middle" x="379.111" y="-66.8" font-family="Times,serif" font-size="9.00">SnpShared/</text>
+<text text-anchor="middle" x="379.111" y="-56.8" font-family="Times,serif" font-size="9.00">SnpShared, dirty resp</text>
+</g>
+<!-- RSD&#45;&gt;I -->
+<g id="edge10" class="edge"><title>RSD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M411.133,-21.3868C430.407,-24.9505 460.323,-33.5906 476.111,-54 529.501,-123.015 517.317,-184.353 495.111,-220 467.018,-265.1 400.791,-277.952 362.604,-281.589"/>
+<polygon fill="black" stroke="black" points="361.869,-278.136 352.178,-282.419 362.424,-285.114 361.869,-278.136"/>
+<text text-anchor="middle" x="556.111" y="-153.8" font-family="Times,serif" font-size="9.00">WriteBack (#sharers=0)</text>
+</g>
+<!-- RSD&#45;&gt;I -->
+<g id="edge17" class="edge"><title>RSD&#45;&gt;I</title>
+<path fill="none" stroke="black" d="M410.931,-22.5655C469.081,-35.0987 647.102,-81.0308 603.111,-166 556.822,-255.409 421.604,-276.501 362.412,-281.471"/>
+<polygon fill="black" stroke="black" points="361.996,-277.992 352.283,-282.224 362.515,-284.973 361.996,-277.992"/>
+<text text-anchor="middle" x="629.611" y="-153.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+<!-- RSD&#45;&gt;RSC -->
+<g id="edge9" class="edge"><title>RSD&#45;&gt;RSC</title>
+<path fill="none" stroke="black" d="M375.368,-21.9231C305.33,-33.8669 49.77,-81.4103 6.11148,-146 -6.76566,-165.051 20.4022,-181.58 42.9956,-191.417"/>
+<polygon fill="black" stroke="black" points="41.6877,-194.663 52.2684,-195.21 44.3383,-188.184 41.6877,-194.663"/>
+<text text-anchor="middle" x="133.111" y="-107.8" font-family="Times,serif" font-size="9.00">WriteBack (#sharers&gt;0)</text>
+</g>
+<!-- RSD&#45;&gt;RU -->
+<g id="edge7" class="edge"><title>RSD&#45;&gt;RU</title>
+<path fill="none" stroke="black" d="M406.714,-30.6147C418.653,-42.2265 432.604,-60.2812 422.111,-74 409.931,-89.9254 355.419,-100.298 321.273,-105.359"/>
+<polygon fill="black" stroke="black" points="320.392,-101.948 310.981,-106.815 321.373,-108.879 320.392,-101.948"/>
+<text text-anchor="middle" x="448.611" y="-66.8" font-family="Times,serif" font-size="9.00">ReadUnique/</text>
+<text text-anchor="middle" x="448.611" y="-56.8" font-family="Times,serif" font-size="9.00">SnpUnique</text>
+</g>
+</g>
+</svg>
diff --git a/assets/img/ruby_chi/transaction_phases.png b/assets/img/ruby_chi/transaction_phases.png
new file mode 100644
index 0000000..9367896
--- /dev/null
+++ b/assets/img/ruby_chi/transaction_phases.png
Binary files differ